Efabless Logo

SSCS-21 Projects

In-Memory... public

Zhiyang Ong | https://github.com/eda-ricercatore

Many types of hardware accelerators for machine learning have been proposed, such as those based...

Bandgap-Reference public

Hisham Elreedy

Design of bandgap reference circuit which can be used as building block for power management ICs

AFTx06_Caravel public

John Martinuk | https://engineering.purdue.edu/SoC-Team/about

Purdue's University's Socet Undergraduate Team Creates Soc's, Aimed To Be Integrated Into Low...

EAMTA 2021 projects public

Nicolás Calarco

Collection of student's projects developed in the Argentine School of Micro-Nanoelectronics,...

sermo-soc public

Tayyeb Mahmood | https://www.uet.edu.pk/

An industrial motor control SoC for sensored DC servos and sensorless AC FoC applications. Sermo...

sub_ps_two_step_TDC public

Stephen Wu

A linear time difference amplifier was used to improve the TDC resolution

VCO-Based-ADC public

Duy-Hieu Bui | http://uet.vnu.edu.vn/~hieubd

This project implements a VCO-Based ADC on skywater 130nm for IoT. This is a sigma-delta ADC...

Serial RISC V (SERV) public

R.Thanga Gnana Jenef

SERV is a bit-serial CPU which means that the internal datapath is one bit wide. . For each...

Design and build... public

Runkun Li

We plan to design and build an audio-band ADC based on the tri-level CTDSM using negative-R...

RISC-V Bare... public

Prabhat Narang

MCus today have become so general-purpose, that they incorporate many IP modules (Like multiple...

Thermoelectric... public

Van-Khoa Pham (Dr.) | http://en.hcmute.edu.vn/

Self-power technique is a vital key for stand-alone applications whereas battery replacement may...

Sonar on Chip public

Mauricio Alejandro Montanares Sepúlveda

The project is oriented to implement a multichannel signal path for ultrasonic air-coupled sonar...

Azadi_II public

Sajjad Ahmed | https://github.com/merledu

Azadi-II is the extended version of AzadiI which is an SoC based on RISCV RV32IMCF architecture....

YiFive (Risc V... public

Dinesh Annayya

32 Bit RiscC Soc Design With Quad Spi , 8 Bit SDRAM Controller And UART

8 bit Priority Encoder public

uppala bhargavasai

In this project,We gave 8 bits as input and we get back 3 bits as output based on priority .The...

Analog Seizure... public

Joseph S. Friedman | https://txace.utdallas.edu/

Analog feature extraction of EEG data and data fusion via stochastic computing for implantable...

Machine Learning... public

Zhiyang Ong | https://github.com/eda-ricercatore

We implement a series of in-memory computing designs for machine learning, using SRAMs (based on...

XOR Physical... public

Anh Phan

This project develops XOR Physical Unclonable Function (XOR PUF) module based on Skywater 130nm

IMPLEMENTATION... public

Rutuja Kage

The design flow performs the full ASIC implementation of the design from RTL to GDSII. The...

NibbleALU public

Shubham Garg

This is a 4 bit ALU capable of performing addition, subtraction, multiplication, Bitwise AND,...

Fast Start-Up... public

Daaris Ameen

This proposal presents a theoretical study and design of two techniques used to reduce start-up...

Photodetectors public

Carlos German Carreño Romano | http://fi.uba.ar/

In this project it is proposed to research, design, manufacture and experimentally validate CMOS...

mahmut_coban_delt... public

Mahmut ÇOBAN

As a project, I pick the Discrete Delta-Sigma Modulator (DC DSM). The main objective is to...

DeepSAC for... public

Omiya Hassan | https://engineering.missouri.edu/academics/eecs/eecs-research/vlsi-lab/

Our ultimate goal is to design a smart and wearable sleep apnea detection system capable of...

Oscillator based... public

Vinodhini G Edward

This project aims at designing an Oscillator based read-out circuit for LVDT using time domain...

Low Voltage High... public

Uttam Sahu

Low Voltage High PSRR Band Gap Reference Circuit with design focus on improving noise...

1kb 7T SRAM 8x4... public

Yashaswi Lakku

A novel basic Low-power 7T SRAM cell, designed and utilized in designing an efficient 1kb SRAM...

Ultra-Low-Power... public

Luís Henrique Rodovalho Moreira de Lima | https://ufsc.br/

This design contains an analog signal process block that filters DC inputs, amplifies the AC...

Variable-gain PA... public

Leonardo Amorese Gallo Gomes | https://sites.usp.br/centrommw/

A variable-gain power amplifier to accomplish two specific tasks: compensate for the insertion...

Poly-Silicon... public

J Dhurga Devi

Polysilicon resistor based temperature sensor and its read out electronics

Reconfigurable... public

Muhammad Ahmed Mansoor

An SoC with hard blocks for common UAV flight control features and a reconfigurable fabric for...

DAC for... public

Kazi Barria Nine | http://www.neural-semiconductor.com/

This proposal states a particular idea for implementing a Digital to Analog Converter (DAC)...

TIDENet- TinyML... public

Cole Blackman | https://engineering.virginia.edu/high-performance-low-power

TinyML Image Detection on the Edge with neural Networks, or TIDENet, is an ASIC written in...

A-QRNG public

Rodrigo Nogueira Wuerdig | https://www.inf.pucrs.br/~gaph/

The A-QRNG is a fully open-source quasi-random number generator designed at the Pontifical...

Customized SERDES public

Syed Muhammad Sarmad

Customized SERDES with selectable Serial protocol

Serial RISCV (SERV) public

John Samuel

SERV is a Bit Serial RISCV CPU Processor

Low Cost DC-DC... public

Nayeeb Rashid

The objective of this project is to develop a simple, low cost, energy-efficient LED driver chip...

High DC Gain... public

Alper Kurt

A single ended folded cascode which has a high DC gain (>70 dB) will be designed for LDO or...

DEISGN OF N-BIT... public

rohith sai

Approximate computing, also called imprecise or inaccurate computing, is a potential ...

Lexicon_SoC public

Marium Masood

This project aims to convert the SweRV-EL2 Core Complex into SoC by adding peripherals around...

Single... public

Nikhil Garg

https://gitlab.com/um-ece/ftl-lab/hilas/designs/alice.git This Skywater 130nm implementation...

Design of a GPS... public

Ramakrishna P.V.

The present project would design a custom GPS Baseband Engine (digital ASIC portion) which, in...

Project_VCO public

ANCHIT PROCH

A high-performance VCRO, with a wide tuning range and noise suppression.

Harvest... public

Nhan Thanh

A highly efficient energy converter to harvest thermoelectric energy uses MPPT and ZCS.

Low Voltage CMOS... public

Mutyala Likhitha

Basically, An integrated circuit should work at all temperature regions, so a reference voltage...

Design and... public

hemanthlakshmiphanipr k | http://www.vnrvjiet.ac.in/

In today’s world, the development of Millimetre-wave technology has been accelerated for...

Novel Flicker... public

Jyotindra Shakya

A novel flicker noise cancellation scheme is proposed, where bias current instead of input is...

SoC Now public

Shahzaib Kashif

An open source Mini SoC Generator which will generate SoC based on parameters.

RISC-V Bare Minimum... public

Prabhat Narang

MCus today have become so general-purpose, that they incorporate many IP modules (Like multiple...

Inductor Less... public

Rana Muhammad Shahid Jamil | http://isb.nu.edu.pk/rfcs2/

This is a proposal for IEEE PICO design contest. An inductor less 5G Bi-Directional amplifier...

SRAM_based_TCAM public

Ali Ahmed, Ph.D. | https://github.com/merledu

This project is tied as an accelerator to the management SoC. It mimic the functionality of TCAM...

IMPLEMENTATION... public

Prajna Mangeshkar

This project mainly performs the full ASIC implementation of the design from RTL to GDSII....

digital phase... public

Mangalapally Naveen

Our project is mainly based on the phase and frequency difference detection between I/O digital...

Low Power... public

ADITYA SHARMA

The proposed ADC is pipelined and mainly consists of three stages: 1) Track-and-hold...

DIGITALLY... public

Hugo Dias Giló | https://ufersa.edu.br/

The proposed circuit is a Digitally Programmable Gain Amplifier (DVGA) which will work...

VARIABLE... public

Muhammad Usman | http://isb.nu.edu.pk/rfcs2/

This design implements variable precision floating point fused multiply and add (FMA )unit...

RF_IQ_Upconverter... public

Michael Stetzler

Upconverter/Downconverter with integrated with image rejection, RF gain stage, and LO chain that...

skylar-soc public

Huy Le

This project implements a RISC-V SOC with hardware accelerators modules on skywater 130nm.

Backscattering... public

hamza atiq | http://isb.nu.edu.pk/rfcs2/

The project focuses on the implementation of Passive Backscattering for on-chip Wireless Power...

High-Gain TIA... public

Jared Marchant

Our design is a high-gain, low-noise, resistive feedback transimpedance amplifier applicable to...