Efabless Logo

Tag Search

"profiles" search for "skills": verilog

Number of Results: 2442

Khemarat Boonyapaluk

A CS/CE undergrad at Brown University

Area of Expertise

Academic: Student

Krzysztof Herman

Academic teacher at the University of the Bio Bio, Chile

Karthik Mahendra

Hi! It's good to see you being here, good to believe we have same interests. Coffee Design Debug Improve OpenSource

Vernon Greer

Analog IC Design Engineer with considerable expertise in mid-­ to high-­frequency transistor­-level design in the semiconductor industry, including clock synthesis, radio frequency circuitry (RF), and memory circuitry design. Experience also includes testing at board­- and wafer­-level, test automation, and simulation scripting.

Zeeshan Rafique

Researcher at Micro Electronics Research Lab -UIT | RISC-V Ambassador

Mihir Rana

Skills

Verilog c

Area of Expertise

Academic: Student

Arsenii Terekhov

Radio Signal Processing Enthusiast

Bhawandeep Singh

Linkedin - https://www.linkedin.com/in/bhawandeep-singh-1b164517/ PhD student in CSE department in UCSC, advised by Prof. Jose Renau. My areas of majors are CPU design, digital design and embedded software.

Ahsan Ali

Passionate Electrical Engineer with interest in computer architecture and SoCs.

Shahbaaz Lokhandwala

An independent and self-motivated fpga engineer with many product and service based project in semiconductor and cryptography market. More than three years of experience in the crypto mining market. Involved in product design/development, management.

Alexander Monakhov

10 years exp. ASIC development. MSU professor.

Jorge Scandaliaris

Teacher/researcher at the Electronics Department (DEEC) of Universidad Nacional de Tucumán, Argentina

Rodrigo Huerta Gañán

I'm a master MIRI-HPC student of the UPC and a researcher of the ARCO group at the UPC

Area of Expertise

Academic: Student

Hohsing Yang

Skills

Verilog

Area of Expertise

Academic: Research

Murat Ozbas

Analog systems and circuit design engineer with extensive product development and applications experience with a strong focus on end markets. Proven ability to work closely with a diverse set of customers and clients to properly specify the requirements of a mixed-signal ASIC. Ability to manage product development from foundry selection through design, tape-out, and mass-production.

Klas Nordmark

Digital designer and embedded software developer. Experience from telecom and computer vision.

Shibmalya Sen

M.Tech in VLSI and Microelectronics.

Syed Arsalan Jawed

I have been working on Analog/Mixed-Signal Integrated Circuits design since last 16 years. I graduated from NED UET, Karachi in 2001 and then worked with Avaz Networks, a Silicon-Valley Company on RTL design and verification of a high-density Line Echo Cancellation Engine for a couple of years. Joined Linkoping University, Sweden in 2003 for Masters in SOC, which concluded with a Masters Thesis on Sigma-Delta Modulators with Fraunhofer Institute of Integrated Circuits, Germany in 2005. The same year I joined University of Trento and Fondazione Bruno Kessler, Trento, Italy for my PhD on Readout Interface Design for MEMS Capacitive Microphones. Worked with ST-Microelectronics, Milano and Analog-Devices, Copenhagen as a Guest PhD Student. This Phd results in 20+ publications and 2 patents with 3 successful MEMS Readout ASICs.

Mohamed Khairy Bahry

I have more than five years’ experience in electronics integrated circuits industry. I have been involved in more than six silicon runs which were all successful and meeting expectations.

tung

Skills

Verilog

Area of Expertise

Digital: RTL

Sun

Engineer, who typically worked in start up environment. So do A-Z, a-z and 1 - infinity if something has to work

Dave Cox

Hardware Design Enthusiast

Skills

C/C++ Verilog

Area of Expertise

Digital-RTL

Marcus N

System and database administrator, embedded systems hobbyist.

Tomasz Hemperek

Skills

Verilog

Area of Expertise

Digital-RTL

Anton Paquin

EE student. ++ Neuromorphic circuits

Mohamed Kassem

We are building a community of OSHW Product Creators. Our focused mission is to simplify the process of smart product creation and making it available to everyone. We believe in Open Source Hardware as the foundation for addressing the massive and divergent forms and features of the new connected world.

Gary Huang

Circuit design hobbyist

Skills

C/C++ Verilog

Area of Expertise

Digital: RTL

Stepan Sutula

I received the B.S. degree in Industrial Electronics Engineering from the Universitat Politècnica de Catalunya, Spain, in 2007, and the M.S. degree in Micro- and Nanoelectronics Engineering in 2009 and the Ph.D. degree in Microelectronics and Electronic Systems in 2015 both from the Universitat Autònoma de Barcelona, Spain. From 2006 to 2008, I was with Investigation Total Ware, S.A., Spain, where I was engaged in analog and mixed-signal circuit design for highly reliable wireless telecommunication systems. From 2008 to 2015, I was with the Integrated Circuits and Systems design group at the Institut de Microelectrònica de Barcelona, CNM, CSIC, Spain, designing low-power high-precision mixed-signal ASICs for integrated smart sensors and IP blocks. From 2015 to 2016, I was with Broadcom Ltd., Barcelona, Spain, developing low-power CMOS IPs like low-temperature-drift oscillators, varible-temperature-coefficient current/voltage generators, temperature sensors, cross-domain level shifting and biasing circuits, touch-sensor transmitter drivers. I am co-author of 12 publications and participant in several research and industrial projects using a wide range of CMOS technology nodes. I am recipient of the 2007 Highest Grade Point Average in the Graduating Class Award, the 2014 Best Paper Award and the 2015 Student Best Paper Award Honorable Mention. My skills include: high-performance continuous-time/switched-capacitor circuits such as low-power high-resolution ADCs/DACs using Class-AB OpAmps; system high-level modeling (SciPy/Sage, Matlab); system electrical-level modeling (Verilog/-A/-AMS); EKV circuit-level modeling; full-custom IC design cycle (gEDA Tools/Cadence Virtuoso: from schematics to layout and verification); development of low-noise and low-distortion test equipment (including microcontrollers and FPGAs) and software (using C++, Tcl, VB, Python); and, experimental IC measures and parameter extraction.

Eric Hawkins

Manager of Universal Avionics System Corporation(UASC) PLD design group. This group is tasked with designing, implementing and approving, in accordance with RTCA/DO-254 guidance, all ASIC/FPGA used in the Universal Avionics product line.

Frwere Li

Skills

C/C++ Verilog

Area of Expertise

Academic: Student

Nikhil Prabhat Bhattiprolu

I just was learning to design the IPs.

Skills

Verilog

Area of Expertise

ASIC Design/Verification

Shriniket Sawant

Recent college graduate from San Jose State University with Masters in Electrical Engineering degree. Specialization - Digital Design and Verification.

Rishi Krishna S

Student

Area of Expertise

Academic: Student

Ang Li

Skills

Verilog

Area of Expertise

Academic: Research

Rupesh

I am working into Soc verification

Skills

Verilog

Area of Expertise

SOC-DV

Emre Kırkaya

PhD candidate on digital electronics

Area of Expertise

Digital-RTL

Balaraju

iam presently working as an Soc verification Engineer

Skills

Verilog

Area of Expertise

SOC-DV

Mustafa Tosun

I am a digital design/verification engineer. I have 2 years of full-time industry experience. In total, I have 4.5 years of experience in RTL design, Electronic Design Automation and Verification using VHDL/Verilog, Perl, Tcl and Linux. I was the #1 graduate of Bahcesehir University in Mechatronics Engineering in 2013

Vishwajeet S B

I'm VLSI Design Engineer aspirant and like to work on design challenges in VLSI domain. I like to keep updated of cutting-edge technology in my field of interest.

Nishant Pani

Skills

Verilog

Area of Expertise

SOC-DV

Hardik Manocha

Intern with Synopsys VIP Group, Working on HDMI VIP Development

Deepak Siddharth Parthipan

I am Digital/SoC Design and Verification enthusiast.

Ronobir Das

BSEE graduating senior with a focus in digital design. I have taken coursework in Analog, Digital, Mixed Signal and RF IC design, and have experience using Cadence's tool suite as well as NI's AWR. I have also done multiple EDA centric courses in validation, formal and functional verification and Digital IC Testing

Mario Vigliar

Mad about OSS system design, specialized in video compression and image processing, CNN and dedicated HPC architectures. New deals in low power design

Kevin André Castillo Andrade

Electrical Engineer student

Area of Expertise

Analog

Luis Enrique Rodriguez Mecca

Analog IC designer with knowledge and experience related to topics in analogl full-custom IC design such as current mirrors, single and differential amplifiers, bandgaps circuits and charge pump.

Aravind

I am a Application Engineer working for Cadence Design Systems and I facilitate the use of the Palladium Emulator at different Customers.

Youssef Ahmed Mohammed

senior student-ECE departmant-faculty of engineering-ASU.

Amr Walid

Experienced Mixed Signal Design Engineer with a demonstrated history of working in the semiconductors industry. Skilled in Data Conversion, Analog Circuit Design, CMOS and Mixed Signal. Strong engineering professional with a Master's degree focused in Electronics Engineering from Ain Shams University.

Omar Abu El-ela

Electronics and Communication student

Julio

RTL Engineer

Area of Expertise

Digital: RTL

Fabien Marteau

FPGA expert, interested by opensource tools for FPGA and for ASIC

Area of Expertise

Business: Design Services

ASHWINI C

I am Ashwini ,done Masters in Electronics. Very much interested to learn VLSI Physical Design

Area of Expertise

DIGITAL ELECTRONICS

Saravanan Nanthakumar

I am a Master Degree holder in VLSI Design. I am interested in Analog Circuit Design.

George Duffy

Area of Expertise

Digital: RTL

Salman Sheikh

Senior Design Engineer at NASA-Goddard., Greenbelt, MD

Bo Lu

Area of Expertise

Analog: Design

Hyogananda raj urs K

on my way to discover me

Skills

Verilog

Area of Expertise

Academic: Student

Mikhail

Skills

Verilog

Area of Expertise

Digital: RTL

Ernesto Conde

Electrical engineer with over 7 years of experience in the semiconductor industry. Working experience in Analog IC Design, Silicon/FPGA Lab bring-up and testing, FPGA Prototyping/Emulation & ASIC/SoC Design,

Antonio Agripino

Master's student at UFCG. Work with Cadence tools. I work with AMS and digital circuits (using Verilog language) and analog layout as well.

Muhammad Hamza

I'm hardworking, I'm smart at doing things, I'm analytical, and passionate to my work. I love to do programming.

Mahadev Shiva Bhat

I am enthusiastic student in SoC designing

Area of Expertise

Academic: Student

gaogui

aaa

Skills

Verilog

Area of Expertise

Digital: RTL

Pushkaraksha K M

Physical design engineer with strong expertise in CAD and low power methodologies

Mahmoud Youssuf Ahmad

Graduate student of ECE department Ain Shams University, and Currently Master Student of ECE department Cairo University with major of Electronics design.

piyush gaur

i am currently persuing mtech from in vlsi design from DTU india.

Area of Expertise

Digital: RTL

Federico Paredes

Digital designer experienced in high-speed ASICs for optical/copper transceivers, including DSPs, error correction and SoC support.

Martin Simlastik

digital ASIC designer with 10+ yrs experience

Mohamed Hassaneen Abd-elghafar Hassaneen Amer

I am an IC designer graduated from faculty of engineering at Ain-shams university in EGYPT in 2018, Now I am a reserch assistant at the IC laboratory of Ain-shams university. My graduation project was to design a decision feedback equalizer (DFE) of a high speed serial link transceiver form scratch to post layout simulations and system integration.

Mohammed Essam Abd El Samee Soliman

I works as junior physical design engineer and I have passion in VLSI field specially in digital IC design. I have experience in writing RTL, test benches and in PnR flow.

Paulo Roberto Bueno de Carvalho

Paulo Roberto B. de Carvalho is a Hardware and Digital IC Design Engineer with 6-years experience in microelectronic area in Digital IC Design, with specialization in RTL design optimization for area and power reduction, Verification and Physical Implementation flow. He has 10-years experience in Application Engineering, technical consultant and semiconductors manufacture processes. He received his bachelor degree in materials, processes and electronic components technology at Faculdade de Tecnologia de Sao Paulo (FATEC-SP) in 2006. In 2011, he joined the Brazillian Federal Government IC Brazil Program of the Ministry of Science, Technology and Innovation (MCTI), trained in Digital Systems Design area. He received his Master of Science degree in Electric Engineering with specialization in Microelectronic area on Integrated Systems Laboratory at Electrical Engineering Department of Polytechnic School of University of Sao Paulo in 2016.

Bob Ledzius

35 years mixed signal SoC, ASIC, and FPGA design and management experience. Concertal provides rapid functional IP integration using proprietary System Design Automation technology that includes delivery of RTOS capable fabric that supports loosely coupled HW operation including IP NbC (Network beyond the Chip). IP areas of expertise include common interfaces, data converters, modulators, digital filters, DSP, processors, FSM, and other custom specified functionality.

Thomas Dye

Area of Expertise

Analog: Design

Akram Selim

Skills

Verilog VHDL

Area of Expertise

Digital: RTL

ardencaple

Semiconductor professional with 40 years experience. I act as a technical consultant, design consultant, or architect for SoCs, embedded systems etc.

Santhosh

IP & SoC Verification Engineer

Jose T. de Sousa

José T. de Sousa holds a PhD degree from Imperial College London (1998) and has been a university lecturer and researcher at Lisbon University (1999-present). He holds 4 international patents, is co-author of one book, and was General Chair of the Field Programmable Logic and Applications Conference in 2013. Dr. de Sousa has published more than 70 technical papers in international journals and conferences. He was co-founder and CEO of Coreworks, a semiconductor intellectual property company, which he ran from 2001 to 2013. His specialties are digital circuit design, hardware/software architecture, technical team management and semiconductor IP marketing and sales.

CTS Chips

Electronic design house subsidiary of Cinvestav Guadalajara Unit (Center for Research and Advanced Studies), a leading research center in Mexico.

MADAN S

Analog circuit designer with passion in taking challenges .Interest in ADC,DAC,PLL,SDADC,LDO.Done layout of all these blocks.

J. Rodriguez

Mixed background in computer science, applied mathematics and electrical engineering. Expert in algorithms to custom core development, system architecture, integration and functional verification.

Vishal Prafulkumar Katigar

Trained in ASIC verification from Maven silicon Bengaluru Also having experience in embedded domain (PCB layout design)

Guy Hutchison

ASIC Designer and entrepreneur

Marco Merlin

Electronics Engineer with 10 years experience in microelectronics and research. Familiar with CMOS integrated circuits design, wireless communication systems, programming and lab environment, I am comfortable working for worldwide semiconductors firms. Passionate for high-tech and music, advocate for teamwork and collective intelligence to support a strong problem-solving methodology, I am curious, self-motivated, addicted to learning and re-shaping the new technologies I am exposed to. During my workday I aspire to address "real-life problems", hopefully continuing to develop my multi-disciplinary skills as a means for technical and personal enrichment.

Dejan Mirkovic

Electronics Engineer and Researcher with a demonstrated history of working in the higher education industry. Skilled in CAD/EDA tools for ASIC, PCB and FPGA design, scripting and programming. Education professional with a PhD in Electrical Engineering and Computer Science from University of Nis, Faculty of Electronic Engineering Nis, Serbia.

Ganesh V

ECE undergraduate looking to gain expertise in Analog/digital design.

Ahmed Agiza

Skills

Verilog

Area of Expertise

Digital: Synthesis

Fyyaz Khan

Skills

Verilog

Area of Expertise

Academic: Student

Saul Rodriguez

I am Assistant Professor in Bio-Electronics. My research interests include analog mixed-signal ICs for medical applications, RFIC, and ultra-low power circuits.

Umer Imran

Umer Imran is eager to work in the field of Computer Architecture and Memory Consistency. He is currently working as a Design Verification Engineer at Lampro Mellon, a training firm with the vision to transform Pakistan’s talent pool into leaders of RISC-V based SoC design. He constantly aims to gain expertise in the various domains of SoC Design including IP Design, ASICs, and low power architectures.

TK Chua

Skills

Verilog

Area of Expertise

Digital: RTL

Augustine Kuo

Vice President of Engineering - Seamless Microsystems. We design high performance AFEs using proprietary and patented technology that encodes the signal in the time-domain instead of voltage or current. This enables us to design low-power ADCs and amplifiers in scaled CMOS. Contact us if you'd like to hear more and engage our services.

Tim Whitfield

Skills

Verilog

Area of Expertise

Digital: RTL

Alfonso Chacon-Rodriguez

Professor in Electronics Engineering with a PhD. in VLSI (Universidad Nacional de Mar del Plata). Expertise in digital RTL and physical design. Experience in FPGA RTL and HLS design for heterogeneous computing. Knowledge in sub-threshold analog design. Fiction writer (National Literature Award for Novel, 2011, Costa Rica)

Komal Javed

A young and ambitious individual, eager to apply my knowledge of Computer Architecture and VLSI Physical Design to develop hardware that is performance, power and area efficient, while actively contributing to the development and growth of the open-source semi-conductor industry

Shyam K

Skills

Verilog VHDL

Area of Expertise

Digital: RTL

Shilpa Prabhu

VLSI Design Engineer

Netsanet gebeyehu

Analog/RF/Digital design manager

MAYANK VASHISHT

Interested in Design, Verification, and Hand-off of Analog IPs. Worked on the definition, modeling, design, verification of DC-DC converters, Chargers, Ideal-Diodes, LDOs, Regulators, Bandgap references, Current limiting and sensing architectures. Excited for challenges in Analog Design, Layout and Post-silicon verification.

Eduardo Augusto da Costa

Eduardo is an Electrical Engineer engaged in hardware development with a true passion for developing and enhancing applications. While at university, he worked in a variety of projects, from VHDL in a SOC project, to a PCB for a power circuit, and even web interfaces for electronic devices network connected. He allies his technical background with great communication skills. He is used to and is passionate about working in diverse cultural environment as was his period as an international student in Japan. He also got a certification in in Digital Integrated Circuit Design and Project Flow with Cadence tools (IC Brazil Program). Currently he works at HT Micron, and is interested in positions related to chip design, hardware design, embedded software development and similar areas.

Vachan U Bharadwaj

A recent graduate student from Syracuse University major in Electrical Engineering with focus in VLSI Designs

Rod

I'm an engineer with experience in analog IC design both in private companies as well as academia. Experienced in design of delay locked loop(DLL), clock recovery, field detectors, voltage limiters, operational amplifiers, current mirrors, bandgap reference and so on.

Aurelien

Skills

Verilog C

Area of Expertise

System: FPGA Programming

Punnu Jose Sebastian

A keen enthusiast of Si technologies

Baker Mohammad

Experienced leader of industry and academia focusing on developing customized hardware accelerators for low power mobile, AI and IoT devices. Over 20-years hands-on experience in all aspects of SOC and processor design. Specialties: memory design (SRAM, regfile, CAM), VLSI design for best Power, Performance, and Area (PPA) design point. Power management and power conversion including dc/dc and ac/dc. Computer Architecture and Hardware accelerator using In-Memory-Computing and Emerging Technologies (RRAM)

Kris

Skills

Verilog

Area of Expertise

Academic: Student

Shahbaz Abbasi

Design engineer with combined R&D and industrial experience in developing analog/mixed signal ICs for applications including inductive position sensors and imager readouts. Proven abilities with widely used blocks including (but not limited to) filter, amplifier, ADC, DAC, voltage regulators, bandgap reference, ring/LC oscillator, comparator and custom digital logic circuitry. Experience with full tape-out flow including schematic design, analog/mixed-signal simulations, floor planning, layout, system-level verification and chip measurements. Strong familiarity with industry standard tools and instruments used for design, verification and testing such as Cadence, Synopsys, Mentor Graphics, and Keysight. Frontend digital hardware design (ASIC/FPGA) experience using Verilog HDL. Considerable exposure to ASIC backend flow (synthesis and PnR) using Synopsys Design Compiler and Cadence Innovus. Skilled with programming platforms such as MATLAB, C++, C#, Perl, UNIX Shell and VB. Strong education with Ph.D. and MSc. degrees in Electronics Engineering along with several peer-reviewed articles in IEEE journals.

Arshad Hussain

Currently working as Assistant Professor, Quaid-i-Azam University, Islamabad, Pakistan.

Arun Jeevaraj

ASIC Developer at Ericsson, trying hands with the open source tool flow.

Area of Expertise

Digital: RTL

Tarun Sharma

PhD Scholar at Advanced MultiCore Systems Lab, IIIT-Delhi

Ganesh Prasad B K

I am an electronics engineer currently working as an intern in STMicroelectronics.

Paul Chopelas

Director of Business Development for Aerospace and Defense for Avalanche Technology

Skills

Verilog

Area of Expertise

Business: Foundry Services

Daniel Limbrick

I am currently an Associate Professor in the Electrical and Computer Engineering Department at North Carolina Agricultural and Technical State University (NC A&T). As director of the Automated Design for Emerging Process Technologies (ADEPT) laboratory at NC A&T, I research the following questions: (1) how can we make computers more reliable in harsh environments (i.e., ionizing particles, malicious fault injection) and (2) how can we extend Moore’s law (e.g., 3D IC)? To answer these questions, he interrogates the traditional abstraction layers of integrated circuit design (i.e., functional description, circuit design, physical design) to discover design methodologies that are more relevant to these goals.

Jay Kaku

Area of Expertise

Academic: Student

Konrad Rzeszutek Wilk

I am enthuastic engineer who has been doing software engineering (Linux kernel), electronics, and now chip designs. My day job is leading teams (senior director) focusing on virtualization and security.

Divyam Pandya

Skills

Verilog

Area of Expertise

Academic: Student

Larry Pearlstein

ASIC architect and designer. Specialize in deep learning, video, image and signal processing, and compression. Professor of Electrical and Computer Engineering at The College of New Jersey.

Gavisiddesh Javali

Motivated master' Student with progressive experience in ASIC Design and a real passion for it. An energetic - self-starter and team builder. Navigates high-stress situations and achieves goals on time and under budget. Always believing in learning and solving the problem through Technology.

SHIVDEEP _

Research Scholar | Analog Circuits | EMI Immune Amplifiers | Neuromorphic Circuits

Alperen Bolat

I am research asistant at TOBB Economy and Technology University

Area of Expertise

Digital: RTL

Scott XING

Prof./SMTS/Research Fellow/Scientist

Skills

Verilog

Area of Expertise

Circuits: Memory

James Stine

Edward Joullian Endowed Chair in Engineering Oklahoma State University Department of Electrical and Computer Engineering VLSI Computer Architecture Research Group

Aled Cuda

I'm a physics major studying at UC Berkeley interested in accelerating research and computational workloads with modern VLSI and FPGA tech.

Pu Wang

I'm an engineer with both software and hardware background. I'm building next generation distributed storage system.

Abdul Moiz Sheikh

A student of Electrical Engineering excited about ASIC design. My interests include Co-Processors and Computer Architecture.

Arman A.

Skills

Verilog

Area of Expertise

Academic: Student

João Silva

PhD Student Research on Integrated Sensor Interfacing Systems featuring signal conditioning and A/D conversion. Functional blocks developed: VGA, current-steering DAC, SAR ADC, AA Filters, SPI master/slave.

Nishit Nathwani

I am a Master's student who has to know for learning and do experiments as well as for writing technical blogger. My website links are given below to connect with my open-source family of the VLSI champions. https://nishitnathwani.blogspot.com/ https://geniusvlsi.blogspot.com/

Tayyeb Mahmood

Motor control group at EE, UET strives to develop technologies in the domain of DC motor control, closed loop (PID) servo control, 3-phase AC (PMSM, ACIM) FOC control, targeting home appliances, industrial, robotic and EV applications.

Sang-Deok Park

I am interested in the platform-based complete SoC design/verification automation methodology and framework

Kh Shahriya Zaman

I am a PhD student at Universiti Kebagsaan Malaysia. My research interests include application-specific hardware design, mainly to accelerate machine learning applications.

Ram Pratap Aditham

Engineering Consultant with 20 years of experience in RF and Analog Circuit Design

MIHIR RANA

Skills

Verilog

Area of Expertise

Academic: Student

Ahmad Sadigh

Skills

Verilog VHDL

Area of Expertise

Academic: Student

Syed Azhar Ali Zaidi

I am an Assistant Professor in Electronics Dept. UET Taxila, Pakistan. I did my PhD from VLSI Lab Politecnico di Torino, Italy. My research interests are digital hardware implementation of Communication and DSP algorithms.

Peter Gu

Area of Expertise

Academic: Student

Renaud GILLON

Electrical engineer with PhD in micro-electronics, and more than 23 years experience in the automotive industry. Specialized in the development of CAD tools to support mixed-signal and smart-power IC design. Founder of SYDELITY b.v. a start-up company offering services and products accellerating system-level simulations.

Valerio Pagliarino

MSc. Student in Physics at University of Turin

Area of Expertise

Academic: Student

Thai Hoa Nguyen Huu

I'm a Master student in EE at UCLA.

Bala Dhinesh

Skills

Verilog

Area of Expertise

Academic: Student

Luke Sammarone

Graduate Student, Electrical Engineering, Stanford University

Siva Prasad

Hardware Design Engineer

Jarrett Malone

Area of Expertise

Digital: RTL

Priyanka Dutta

current Phd Student in UCSC Hardware System Collective group formerly worked in Qualcomm Wireless R&D team as a design verification engineer

315310

Skills

Verilog

Area of Expertise

Academic: Student

Roman Gauchi

Roman Gauchi received the M.Sc. in Electrical Engineering from Polytech Grenoble, France in 2017 and the Ph.D. in Electrical Engineering from CEA-LIST, Grenoble, and University of Grenoble Alpes, France in 2021. Dr. Gauchi is a Postdoctoral Research Associate at the University of Utah, since March 2021. His main research interests are emerging technologies and reconfigurable architectures, digital integrated circuit design and embedded software.

aju narayanan

Skills

C/C++ Verilog

Area of Expertise

vlsi

P Keerthija

MS Research Scholar at Indian Institute of Technology, Tirupati

Area of Expertise

Academic: Student

Opensource FoodRev Projects

Collaborative development of open source technologies for public health.

Skills

C/C++ Verilog

Area of Expertise

Digital: RTL

Harsh Malpani

I am a college student and want to do certain project work

Area of Expertise

Academic: Student

Jose Fernando Picó Antolí

I love electronic systems. I am interested to learn all about electronic systems.

Skills

Verilog

Area of Expertise

Academic: Student Digital: RTL

Jung Jaemin

Skills

Verilog VHDL

Area of Expertise

Digital: RTL

akshay thakur

I am a Newbie circuit design engineer and have keen interest in designing circuits and being part of state of the art design projects

Area of Expertise

Analog: Design

Ismael Garcia

BS EE from Stanford University and Digital Designer. Areas of focus are computer architecture, VLSI, and Analog IC. I am interested in making chips to test myself.

Luke

Student at UoC

Area of Expertise

Academic: Student

Dr. Muhammad Ali Qureshi

I am working as Associate Professor and Chairman in Department of Information & Communication Engineering, The Islamia University of Bahawalpur, Pakistan. I am also IEEE Senior Member and Chair, IEEE Bahawalpur Subsection.

Ishika Maheshwari

i am a college student and want to do projects

Area of Expertise

Academic: Student

Lachlan Munday

Skills

C/C++ Verilog

Area of Expertise

Digital: RTL

Parul Soni

Parul Soni

Skills

Verilog

Area of Expertise

Academic: Student

Semyon Moskolenko

Student of the Russian Technological University, Moscow.

Ioannis Savidis

I am an Associate Professor teaching VLSI design, hardware security, and digital circuit design at Drexel University. My research interests include analysis, modeling, and design methodologies for high performance digital and mixed-signal integrated circuits, power management for SoC and microprocessor circuits, hardware security, including digital and analog obfuscation and Trojan detection, and electric and thermal modeling and characterization, signal and power integrity, and power and clock delivery for heterogeneous 2-D and 3-D circuits.

Qirui Da

爱瞎搞的技术党

D Va

Skills

Verilog

Area of Expertise

SoC: Verification

KASHIF INAYAT

Kashif Inayat currently working as a doctorate fellow researcher at System-on-Chips (SoC) Laboratory, Electronics Engineering Department of Incheon National University, South Korea. He considers himself fortunate to have the opportunity to work under Prof. Jaeyong Chung at Incheon National University. Prior to starting his Ph.D., he completed his Master of Science in Electronics and Computer Engineering (ECE) from graduate school, Hongik University in 2019 under the supervision of Prof. Seong Oun Hwang. Moreover, during MS studies he worked at Information Security and Machine Learning Lab, Hongik University, South Korea as a graduate researcher for 2.5 years. Furthermore, he chaired the special sessions at International Conference on Green and Human Information Technology (ICGHIT 2019), held in Kuala Lumpur, Malaysia (Jan, 16-18, 2019). Moreover, he is a registered member of the Pakistan Engineering Council and a reviewer for the IEEE Access Journal.

Avinash Reddy Talatala

i am a student wanted to learn VLSI

Skills

Tcl/Tk Verilog

Area of Expertise

Academic: Student

Lakshman Vinukollu

I am VLSI Trainee wanted to design chips

Lakshman

I am student and wanted to improve my knowledge in VLSI

Bob smith

Skills

Verilog

Area of Expertise

Digital: RTL

Gaurav Sattiwale

I am a learner with a enthusiasm towards new concepts.

Area of Expertise

Academic: Student

Rolf Widenfelt

Educator

Skills

Verilog

Area of Expertise

Academic: Teaching

Christian Duffee

Electrical Engineering PhD student at Northwestern studying Spintronics and Probabilistic Computing.

Parker Hardy

Graduate research assistant at the University of Mississippi

Ian MacFarlane

Skills

Verilog

Area of Expertise

Academic: Student

2K18/EC/103 MOHD PARVEZ KHAN

myself Mohd Parvez Khan, I am pursuing btech from dtu in ECE.I have keen interest in VLSI and Digital domain.

ZHAOTAO

A TECHNOLOGY FUNS

Area of Expertise

Academic: Research

Ravishankara K

Verification Architect

Area of Expertise

Digital: Verification

Yuchen Mei

Skills

Verilog

Area of Expertise

Academic: Student

Jake Ke

Skills

Verilog

Area of Expertise

Academic: Research

Ted Sun

I am a Professor in Electrical Engineering in ITU.

Waleed Waseem

An undergraduate Computer System Engineering student who working as an intern at Micro Electronic Research Lab (MERL) for over a year. Most of my experience has been in GCC (GNU C Compiler) or more precisely towards the compiler side. I had worked on the Reverse Engineering of the Rocket chip as well. Apart from my professional pursuits, I like traveling, designing, and being aware of socio-political situations.

Skills

C/C++ Verilog

Area of Expertise

Academic: Research

Aastha Dave

Undergraduate in ECE & Economics at BITS Pilani, India. An enthusiast in IC Design, VLSI and Physical Design.

Abdelrahman Rabeh

Digital design engineer Msc Student at cairo university Faculty of Engineering

Uzair Ahmad

A hard working IC Design master student aiming excellency and professional experience in the field of Integrated Circuit Design specially analog and mixed signal design like Data converters, LDO, Opamp and Bandgap reference.

Mohammad A. Nili

Skills

C/C++ Verilog

Area of Expertise

Digital: RTL

Uri Shaked

Maker, working on Wokwi.com

Area of Expertise

System: PCB

Rana Muhammad Shahid Jamil

An aspiring Analog/RF IC designer aiming to make a difference through existing knowledge and innovative ideas.

Mayank Patel

VLSI Enthusiastic

Skills

Verilog

Area of Expertise

Academic: Student

Brady Etz

Planning to enter a master's degree program in 2023. Currently employed in consumer product manufacturing. Personal project experience in embedded systems, digital verification, and RTL for FPGAs.

Kranthi Kumar Pamarthi

I am passionate about all areas of VLSI Design and trying my level best to be an expert in the entire flow, analog, digital and mixed signal. Beyond chips, I like poetry, skating, skydiving, late night swimming and trekking in no particular order.

h masa

Skills

Verilog

Area of Expertise

Academic: Research

Brendan Ford

I am a fourth year Electrical engineering student interested in design and verification of digital and analog integrated circuits. - I have experience doing layout for RFIC using SOI processes where I gained extensive knowledge in device physics, floorplanning, ESD protection techniques, and techniques to mitigate manufacturing limitations -I have extensive experience using Cadence Virtuoso layout XL , running verification simulations using Cadence ADE Explorer, EMX, and PEX.

Wouter van Verre

Electronics engineer in the UK

Dillip Kumar Sahoo

I’m a research student at Odisha University of Technology and Research working for better understanding of Low Power Electronics Circuit . My research and career interests lie in the Application specific Integrated circuits and Analog Mixed Signal with expertise in circuit design and programming. With a board skill set covering the important facts in the semiconductor industries, I am looking for exciting opportunities in the same. I enjoy generating new ideas and devising feasible solutions to broadly relevant problems. My colleagues would describe me as a driven, resourceful individual who maintains a positive, proactive attitude when faced with adversity. Currently, I’m seeking opportunities that will allow me to develop and promote technologies that benefit human society.

Kenji Kise

Skills

C/C++ Verilog

Area of Expertise

Academic: Research

Omiya Hassan

Currently working as a Graduate Instructor in the department of Electrical and Computer Engineering and pursuing my Ph.D. in Electrical Engineering at the University of Missouri-Columbia. My research focuses on the development and design of low power decision-making Integrated Circuit (IC) using different type of Ai/Machine-Learning techniques. Besides researching on developing future technology, I'm also a professionally trained Vocalist in traditional South-Asian music majoring in Tagore and have experience in freelancing of 5 years in Digital Art and Graphics.

Dantu Nandini Devi

MS Student in IIITB

Skills

Verilog

Area of Expertise

Academic: Research

Raghavendra P R

Skills

Python Verilog

Area of Expertise

Digital: RTL

Rouhan Noor

I am an Electrical Engineering working VLSI design farm with 7 tape-out experiences.

Phanindra Sharma

FE Design

Area of Expertise

Digital: RTL

Viraj Rawal

Skills

Verilog

Area of Expertise

Digital: RTL

shanu kumar

Skills

sta,verilog

Area of Expertise

Academic: Student

Muhammed Öney

Skills

Verilog

Area of Expertise

Academic: Student

Uriel Jaramillo Toral

I'm a master degree student at CINVESTAV MEXICO.

Pulidindi Rakesh

Iam an Under-graduate currently pursuing B.Tech 3rd year in Electronics and Communication Engineering at Rajiv Gandhi University of Knowledge and Technologies, campus in Nuzvid, Andhra Pradesh 521201

Eray Develioğlu

Skills

Verilog

Area of Expertise

Academic: Student

John Mamish

Academic researcher working at Georgia Tech

Tarush Singh

I am a VLSI enthusiast looking for an opportunity to make my career as a Design engineer in the Hardware Industry.

Terry Phillips

Widgets on a whim...

Jared Marchant

Ph.D Student studying analog/mixed-signal VLSI at Brigham Young University

Ferhat Böcek

I am a 3rd year electronics engineering student. I am interested in chip design and want to learn this field. www.linkedin.com/in/ferhat-böcek-b73452170

Bharathi M

working as Assistant Professor in Sree Vidyanikethan engineering college, Tirupati.

SMILEZ GREEN

Skills

Verilog

Area of Expertise

Academic: Student

HASAN AL-SHAIKH

I am a first year PhD student at UF.

Saurabh Singh

Skills

Verilog

Area of Expertise

Digital: RTL

Binoy B

Skills

Verilog

Area of Expertise

Academic: Research

Day Make

Master course student from JP

Skills

C/C++ Verilog VHDL

Area of Expertise

Academic: Student

Luigi Zaffarana

Skills

Verilog VHDL

Area of Expertise

Digital: RTL

YERRA BHASKARA VARA PRASAD

My name is "YERRA BHASKARA VARA PRASAD" pursuing B.tech ECE 3rd year in Rajiv Gandhi University of Technologies, Nuzvid, Andhra Pradesh 521201.

Renaud GILLON

Program manager with more than 25 years experience in the semiconductor industry. Expertise in EDA tools, analogue, RF and high-voltage PDK development, sensor design, design support for electro-magnetic compatibility, ESD and functional safety.

貓 黑

Skills

Verilog

Area of Expertise

System: FPGA Programming

Chaganti Harsha Vardhan Reddy

I'm Harsha,I'm pursuing my undergraduate in the domain of Electronics and Communication Engineering from SRM University AP.

Area of Expertise

Digital: RTL

Alperen Koyun

Beginner Digital Designer

Area of Expertise

Digital: RTL

Rohith Srinivas

I'm a final year student of Electronics and communication Engineering studying at PES University, Bangalore, India.

Area of Expertise

Academic: Student

yaşar karaca

hobbyist

Skills

Verilog

Area of Expertise

Academic: Student

Eino Cummings

Skills

C/C++ Verilog

Area of Expertise

Academic: Student

Ron Hui

Skills

Verilog

Area of Expertise

Circuits: Microcontrollers

Robin Tsang

ADC designer starting a company

Aidan McNay

Skills

C/C++ Verilog

Area of Expertise

Academic: Student

PANKAJ AGRAWAL

Skills

Verilog

Area of Expertise

Academic: Student

NIMMAKAYALA SUMANTH GOURI MANJUNADH

I would like to think I am a safety-focused, results-driven, and professional Electronics & Communication Engineer and M Tech in Electronics & Communication Engineering with specialization in VLSI Design, who can be relied upon to carry out my tasks competently within strict rules and procedures in a fast and efficient manner.

Mark Bickerstaff

https://au.linkedin.com/in/markbickerstaff

Muddukrishna Y

Currently I am student in NIE college Mysore. I am passionate about vlsi design

Vinay Rayapati

Skills

Verilog

Area of Expertise

Academic: Student

Aman Prajapati

I am a student currently pursuing MTech at International Institute of Information Technology, Bangalore.

Skills

Verilog

Area of Expertise

Academic: Student

Tejas B N

VLSI enthusiast

Skills

Verilog

Area of Expertise

Physical Design

Dan Fiumara

RPI Student, NYDesign Intern

Area of Expertise

Academic: Student

Archan Desai

I am Mtech student of VLSI in IIITB

Area of Expertise

Academic: Student

Ranjit Neelakandan

Extremely curious about things. Willingness to learn new things.

veena S Chakravarthi

SoC Architect.Technologist. Hands-on experience in complex low power SoC designs, from concept to production. Excellent track record in successful development and production of ASICs, Technologies: Bluetooth, WLAN, IoT, Gigabit Ethernet, EPON networks, Interface ICs, Broadcast TV and Communication controllers. Demonstrated consistent track record of first-pass silicon success. PhD in Low power VLSI. Recipient of US and Indian patents. Core Competency: Chip Architecture, Micro Architecture, logic design, RTL Verilog coding, Chip level verification, Static Timing analysis, Formal Verification, design rule checking, AXI, AHB and APB bus protocols, Digital ASIC methodology, DFT methodology, Low Power ASIC design, Interfacing with backend teams, Timing closure, Post silicon bring up and validation, Project Planning, Scheduling and Management, FPGA Prototyping. Author of Book "A Practical Approach to VLSI System on Chip (SoC) Design" published by Springer Nature. Domain experience: Communications, EPON, WLAN, Bluetooth, IoT, Healthcare, Nextgen TV, Automotive. Managed dynamic, cross cultural teams of size 40 to 100. Standards worked on IEEE802.3, IEEE802.11b, ac, ad. ATSE 3.0, Automotive ethernet, Bluetooth Quality standards: ISO 9002, ISO 13485, IEC 60601-2 Funded Research: Derivative Low power standard cell library development PhD Guidance: 2 one in Asynchronous VLSI design methodology and second in Sub 1V power supply for complex SoCs. Co-founded healthcare company:Sensesemi Technologies Pvt. Ltd. Academic research head and taught engineering students for their undergrad, Post grad and PhD courses Chair, IEEE NanoTechnology Council, Bangalore Section as a founder Chairperson, Current Senior IEEE member.

Yashaswi Lakku

I'm a UG Student, currently pursuing B.Tech in Electronics and Communication Engineering (ECE).

Area of Expertise

Academic: Student

Javier Contreras

Electrical Engineering senior student at the PUC Chile

Marc C.

Area of Expertise

Digital: RTL

Chaganati Harsha Vardhan Reddy

I'm Harsha, pursuing my under graduation in the stream of Electronics and Communication Engineering at SRM University AP

Van-Khoa Pham (Dr.)

Pham Van Khoa received the B.S. degree in Computer Technology and PhD. degree in Electronic Engineering from the University of Technology and Education, Vietnam and Kookmin University, Korea, respectively. In 2010, he joined ICDREC (Integrated Circuit Design Research and Education Center), where he was engaged in the development of VN8-01 MCU, the first commercial micro-controller fully designed and fabricated by Vietnamese. In 2011, he joined University of Technology and Education HCMC, where he is currently a senior lecturer at Department of Computer and Communication Engineering. His research interests include Low-power VLSI solutions for Memory, Energy Harvesting, Memristor-based Neuromorphic Computing Systems, Neural Network Accelerators and MP-System-on-Chip based designs.

Manushi Ladia

Skills

Verilog

Area of Expertise

Academic: Student

蓝俊捷

Skills

Verilog

Area of Expertise

System: FPGA Programming

Rohit Khanna

Hardware Design Engineer with 7 years of experience in RTL/SoC/FPGA Design, Integration, and Verification. Proficient in Front End Design tools and methodologies. Passionate about IC Design/Fabrication, AI, and IoT prototyping.

Nate Cermak

Neuroengineer

Area of Expertise

System: Test Equipment

J Dhurga Devi

My area of interest is Analog and Mixed signal circuit design. I teach Electronic Circuit, VLSI design and Signals & Systems for under graduate students and Analog Integrated Circuit Design, Data Converters and Clock & power management circuit courses for Masters students. I also teach VLSI lab courses for both both undergraduate and masters students. Every year I supervise both undergraduate and masters students in analog circuit design projects. Presently I am involved in SRC project as Co-task leader in Analog and Mixed Signal circuit verification project using Machine learning techniques.

Shabbar Vejlani

Digital and Mixed Signal Verification Engineer, with 9+ years of industry experience, looking to explore the entire chip design cycle.

LOKESH MAJI

Skills

Verilog

Area of Expertise

Academic: Student

Zong-Ru Li

2nd year MS student in ECE, looking for joining an ASIC project.

Sritam Birtia

Skills

Verilog

Area of Expertise

Academic: Student

Nishit Chechani

Skills

Verilog

Area of Expertise

Academic: Student

Luke Beno

Previously Applications Engineer at Triad Semiconductor. Worked on ASICs in the Consumer, Medical and Automotive space. Hardware design background. Proficent in Firmware and FPGA. Passion for applications engineering and semiconductor product definition.

Travis Ayres

Skills

Verilog

Area of Expertise

Digital-RTL

Yashwant Moses

Skills

Verilog

Area of Expertise

Academic: Student

Charaan Suresh Kumar

Passionate about Digital VLSI and Hardware Accelerators. Experienced in using open-sourced tools for design and verification

Sameer Shaik

Grad Student at UC San Diego working on biomedical devices

Mehdi Aghelan

Skills

Verilog

Area of Expertise

Academic: Student

JUAN CAMILO CASTELLANOS RODRIGUEZ

Juan Camilo Castellanos received in 2009 his B.S. degree in electronic engineering from National University of Colombia in Bogota, Colombia. In 2011, he received the M.S. degree in electrical engineering from State University of Campinas, Brazil. Later, he enrolled in the Brazilian IC design programme, called CI-Brasil. In 2013, he was analogue and mixed signal designer in the non-volatile memory group at Freescale Semiconductors (Now NXP) in Brazil. He received the Ph.D. degree from Eindhoven University of Technology, The Netherlands in 2018. He was lecturer in the Informatics Department at State University of Ponta Grossa in Brazil. In 2019, he was professor at the department of mobility engineering at Federal University of Santa Catarina (UFSC), Brazil. Since 2020, he joined as professor at the Department of Electrotechnics at Federal University of Technology - Paraná/Brazil (UTFPR). His research interest includes embedded systems (IoT, smart sensors, sensor fusion, DSPs, microcontrollers, FPGAs) and analogue and mixed-signal integrated circuits for power management (DC-DC converters, charge pumps, LED drivers and current/voltage monitors, energy harvesting).

Ethan Gao

2nd Year EECS Undergraduate student @ UC Berkeley

Area of Expertise

Academic: Student

Murali Mohan

Experienced Senior Design Engineer with a demonstrated history of working in the electrical and electronic manufacturing industry. Skilled in DAC, SPICE, ADCs, Electronics Hardware Design, and Management. Strong engineering professional with a M-Tech focused in VLSI System Designs from Vallurupalli Nageswara Rao Vignana Jyothi Institute of Engineering &Technology and also a B-Tech Degree in the field of Electrical, Electronics and Communications Engineering from Mahatma Gandhi Institute of Technology.

Rana Shahid Ali

Master's research student in IC design has hands-on experience on Cadence tools like Virtuoso, Genus, Innovus, Calibre. Motivated to learn more in the field of IC design

Lubna Shah

Currently enrolled as a MS fellow in EE specialization in IC Design.

AKHIL P

Skills

C/C++ Verilog VHDL

Area of Expertise

Academic: Student

Lubna Shah

Currently enrolled as a MS fellow in EE specialization in IC Design. An ambitious research student aiming to gain knowledge and experience expertise in IC designing.

Tiến Huỳnh

Reckless

Area of Expertise

Academic: Student

Rizwan Ahmed

Motivated and passionate IC design Student doing Master thesis.

hamza atiq

Im designated as a lab engineer at Fast NU Isl. My area of expertise is analog and Rf ic design.

Mohammad Amin Nili

I'm a VLSI System Design (VSD) intern, looking for opportunities for tape-out.

Skills

C/C++ Verilog

Area of Expertise

Academic: Student

ritesh lalwani

Skills

Verilog

Area of Expertise

Academic: Student

Gayatri Padhy

I am working at Object Automation

Area of Expertise

System: Test Programming

Jay shah

Skills

Verilog

Area of Expertise

Academic: Research

Anuj Kumar Jha

I am Anuj Kumar Jha and pursuing MTech at IIIT Bangalore in VLSI

Muhammad Jawad Shakil

hi, i am jawad. I have done my bachelors in electrical engineering from UET Lahore, Currently, as a research student, i am interested in SoC's. My future goals is to develop expertise in analog, mixed signal and RF circuits designs.

Bharath Shashidhar

Skills

Verilog

Area of Expertise

Digital: RTL

Aditya Chhikara

Skills

Verilog

Area of Expertise

Academic: Student

Peng Bob

Area of Expertise

CAD: Scripting

Ran Chen

I`m a digital IC designer working for a RISC-V company RIVAI in China, which has close ties with RIOS Lab.

Area of Expertise

Digital: RTL

Ramakrishna P.V.

I have been a Professor in The Dept. of Electronics and Communication, College of Engineering, Guindy (CEG), Anna University, Chennai, India and I have retired last year after being on the faculty of this University for twenty eight years. I continue to teach courses as a Guest Faculty in the same Dept. at CEG, Anna University in the areas of Analog, Mixed Signal, RF and Communication Systems and IC Design. I have supervised student teams in building Small Satellites (Avionics subsystems) and also CMOS IC Designs. I have also supervised Masters and Doctoral thesis in the areas of GPS (baseband), uP Clock PLLs and CDRs, RF LNAs, and resonant sensors.

Jack Zh

Researcher

Skills

Verilog

Area of Expertise

Academic: Research

Bin

Skills

Verilog

Area of Expertise

Digital: RTL

Shruti Prakash Gupta

I am a Senior Undergraduate in Electrical Engineering Department at IIT Gandhinagar, India. VLSI Design and the ability to tweak at the hardware level interest me a lot!

KONKALA SOURABH

Enthusiastic, Innovative, Technically Aspiring & Constantly motivated

Serdar Ünal

I am working at TUBITAK BILGEM (TUTEL). Company mail: serdar.unal@tubitak.gov.tr

Rakshit Bhatia

Skills

Verilog

Area of Expertise

Academic: Student

Ishan Desai

I am pursuing M-Tech in VLSI at IIIT Bangalore.

Area of Expertise

Academic: Student

Ujjawal Sharma

Skills

Verilog

Area of Expertise

Academic: Student

Ashwin Rajesh

Final year electronics engineering student interested in exploring VLSI

Area of Expertise

Academic: Student

Yash Kothari

Skills

Verilog

Area of Expertise

Academic: Student

Kavin Raj Dennis

VLSI Professional with 2.3 years of experience and adequate knowledge in RTL Design, UPF, SDC, Lint, CDC, Synthesis, Physical Design, STA and Scripting. I love Computers and I am interested in RISC V

Rutuparn Pawar

I am a graduate student at University of Texas at Austin

Aki Van Ness

abyssal witch | deranged catgirl hardware/software engineer + vtuber | that crazy SCSI girl | she/her

Pushpanjali Chauhan

I am a Graduate student pursuing my master's in Computer Engineering. I am interested in VLSI projects.

Abhishek Nerella

Electrical Engineer at Shiv Nadar University

Pier Francesco Maria Santi

I'm a Senior Electronic Engineer passionate with ASIC & FPGA

ADITYA SINGH

M.Tech. in VLSI DESIGN

Area of Expertise

Academic: Student

Jackson Hafele

Skills

Verilog

Area of Expertise

Academic: Student

Bharath G S

Hey, i am a electronics enthu! ready to learn

Sai Ritish G

Just an average engineering student

Zeina

I am student at Digital IC Design track in ITI.

Skills

C/C++ Verilog VHDL

Area of Expertise

Academic: Student

Preston

PhD Student in Bioengineering at UCSD

idrnyu

Skills

C/C++ Verilog

Area of Expertise

Academic: Research

Gabriel Cojocaru

Skills

Verilog

Area of Expertise

Digital: RTL

Ryan Wans

Rf engineer and mmWave researcher

Area of Expertise

Academic: Research

Rajkumar Kubendran

Assistant Professor. ECE. University of Pittsburgh. Interests: Neuromorphic and Biomedical Systems Design

Supratim Das

Professional ASIC engineer @NVIDIA | Tinkerbell | Electronics DIY Enthusiast

LeoLiang

Currently a student in EE, interested in ASIC design and logic synthesis

Area of Expertise

Academic: Student

Shuchirath Gowda. R

I am extremely dedicated individual looking for an opportunity to learn and explore new ways to implement the latest technology. My dream is to join a core company and work on on-site projects. I believe my skills and hunger to learn will turn me into an important asset to the company. Thank you

sayeekumar swaminathan

I am avid designer of microcoded based processor circuits .

NIPUN PRABHAKAR DHANDAGE

I am a student in my final year of B.tech in electronics. I am eager to learn about vlsi and its technology. I want to take part in openMPW program

William (Liam) Oswald

William Oswald received the B.S. degree in computer engineering and the M.S. degree in electrical engineering from the University of South Alabama, in 2020 and 2021, respectively. He is currently pursuing the Ph.D. degree in systems engineering. He has worked in industry as a Systems Analyst at Packaging Corporation of America, from 2019 to 2020. His research interests include computer architecture design, machine learning, and model based systems engineering.

순창 박

Skills

Verilog

Area of Expertise

Academic: Student

Meet Sangani

Skills

Verilog

Area of Expertise

Digital: RTL

Anastasios Psarras

Digital Hardware Design Engineer & Enthusiast, PhD, ECE

AASHISH TIWARY

Skills

Verilog

Area of Expertise

Academic: Research

huangxun

Skills

Verilog VHDL

Area of Expertise

Academic: Student

Ramiro Javier Rossi

Microelectronics enthusiastic. Testing engineer at Mirgor Argentina SA and Researcher at National University of Technology Regional Buenos Aires.

Shiva Teja

Skills

Verilog

Area of Expertise

Academic: Student

RECEP GÜNAY

Received B.S. degree from Electrical and Electronics Engineering in Middle East Technical University, Turkey, in 2019. Currently, pursuing M.S. degree from Electronics Engineering in Bogazici University, Turkey. Research interests are computer architecture, hardware security and secure memory architecture

Tomas Merk

Skills

Verilog

Area of Expertise

Academic: Research

Guilherme Guioco

13+yr ASIC Digital Designer. Master degree on Microeletronic Sensors. Worked on NXP through different projects on automotive and consumer/general market MCUs on areas from SoC Integration to Timing Sign-Off

Gurkirat Singh

Semiconductor professional with 12+ year experience in ASIC hardware design and methodology development. Main expertise is in chip STA signoff - top level, IO timing, High speed design timing, and good knowledge of RTL design, Physical design (Layout, CTS, Route, DRCs), Synthesis and Formal Verification. Have also completed business management studies and looking for interesting opportunities in chip product development and management.

Yuki Azuma

Skills

C/C++ Verilog

Area of Expertise

Academic: Student

Burak Aykenar

Digital Design Engineer in Yongatek in Ankara/Turkey

Area of Expertise

Digital: RTL

Nghi Thai

Physical Design over 10 years, Front-End Design over 4 years, have knowledge on DFT, STA, ...

EMre Goncu

Skills

Verilog VHDL

Area of Expertise

Academic: Research

Derek Hines-Mohrman

Graduate Student at the University of Washington.

Muhammad Tahir

Professor at the Department of Electrical Engineering

Sukru Uzun

Skills

Verilog

Area of Expertise

Academic: Student

Steve Goldsmith

I am the founder of Aurifex Labs LLC. I have a degree in EE and have a strong interest in computer architecture, but have been a software developer/entrepreneur professionally. I also have a little audio DSP background. I created Prospero.Live, a collaborative software development platform.

Steve Goldsmith

I am the founder of Aurifex Labs LLC. I have a BSEE from Wilkes University. I've spent most of my time in software, education, and entrepreneurship, but have spent the past year learning VLSI and am excited for this new era of open source hardware/tools.

Ninad Jangle

Skills

Verilog

Area of Expertise

Academic: Student

从波 时

Skills

Verilog

Area of Expertise

Digital: RTL

snalvc

Senior software engineer in Tron Future Tech. My work is related to CPU/FPGA heterogeneous computing for radar signal processing. Capable of designing RTL IP, implementing Linux kernel driver and system software programming.

Sajjad Ahmed

I am an graduate student of computer system engineering at Usman Institute of Technology. and working on RISCV based SoC designs since 2019 in Microelectronics Research Lab.

鲁平 崔

asic design engineer

Area of Expertise

cpu

Benjamin Yeffeth

Computer Engineering Student and hardware design enthusiast. Enjoys programming and soldering.

Paras Vekariya

I am a student at IIIT Bangalore pursuing Integrated Mtech in Electronica and Communications Engineering and am fascinated about silicon wafers and want to pursue a career and build a revolution in this domain.

YUSUF KAGAN INAC

Skills

Verilog

Area of Expertise

Academic: Student

LIJO LUKOSE

Analog design engineer with 3+ years of experience in the analog/ mixed signal design, verification and lab validation.

Julio Vargas Riano

I am interested in Robotics and Bioengineering. A holistic approach can lead to developing optimal systems. The co-design of cyber-physical systems must take into account the biological and mechanical models, hardware, and software. I search for the application of mathematical representation of models by algebraic geometry, topology, and energy fields. The study of mathematical concepts can lead to hardware and software design that solve complex dynamical systems.

Yihai Zhang

Skills

Verilog

Area of Expertise

Digital: RTL

志远 刘

Skills

Verilog

Area of Expertise

Digital: RTL

Subhojit Basu

Electronics Engineer. Design Embedded Systems , Firmware professionally and fiddle with others :)

Alexander Shpenev

A master's student studying the design of electronic devices

Gopala Krishna Reddy Sanampudi

Student at international institute of information technology, Bangalore.

Area of Expertise

Academic: Student

Collin Stoner

I am an Electrical and Computer Engineer. I run an engineering, design and manufacturing firm in Milpitas, CA. We design and manufacture various electronic products, including image sensors and image processing compute hardware.

Alexander Shabarshin

Professional Software Developer and Electronics Hobbyist

Ozixe

Skills

Verilog

Area of Expertise

Digital: RTL

Sara Sameh

Skills

Verilog VHDL

Area of Expertise

Academic: Student

priyanshu

Skills

Verilog

Area of Expertise

Academic: Student

kammari dhanunjaya achari

Physical Design Engineer at Laksh Semiconductors

Ufuk Yıldırım

Skills

C/C++ Verilog VHDL

Area of Expertise

Digital: DFT

Ignacio Herrera

I am a PhD. Telecommunication Engineer with 25 years of working experience in the industry. I started my career in 1997 as an IC Design Engineer, then I moved to other technical and management roles in the semiconductor and aerospace industries. Since 2017 I am also teaching IC Design at University for MsC. graduate students.

Anuj Dubey

A Ph.D. student working in Hardware Security.

Ali Imran

Area of Expertise

Academic: Student

Kamala Jayaraman

Kamala J. received her B.E. degree in Electronics and Communication Engineering from Madurai Kamaraj University in 1989, M.E. degree in VLSI Design from Bharathidasan University, in 2002 and Ph.D from Anna University, Chennai, Tamil Nadu, India in 2011. She is currently working as Associate Professor in the Department of Electronics and Communication Engineering, Anna University, Chennai, India.

Maximiliam Luppe

FPGA, Silicon, and Open Hardware enthusiast

Y.Goutham Datta

BZZZZZZ

Area of Expertise

Digital: RTL

Abdul Wadood

Final year student of Electrical Engineering at UET Lahore. Area of expertise is Digital Design, Computer Architecture and SOCs.

Advane Harshal Subhash

ASIC Verification Engineer with 12+ yrs of exp.

Sharmin Sheikh

I'm a student who is pursuing M.Tech in VLSI Design from VIT, Chennai . I want to explore deeply the field of study, excel in the research about the subject , enhance my learning and skills.

Pranav Vajreshwari

Working on ASIC, FPGA design flows. Interested in VLSI Design.

Efi Sasson

Skills

Verilog

Area of Expertise

Digital: RTL

BANDA ANUSHA

Skills

Verilog

Area of Expertise

Academic: Student

Bharti Poddar

currently pursuing M.tech in VLSI design from Visveswaraya National Institute of Technology, Nagpur, India

Area of Expertise

Academic: Student

Rishabh Verma

Looking for an internship opportunity in VLSI or the semiconductor industry. Hey, Welcome to my page I'm Rishabh Verma, a pre-final ECE undergrad, who has a keen interest in the VLSI domain. I love to design and simulate circuits. Till now I have participated in two circuit design hackathons. One of them was "Mixed-signal Circuit Design and Simulation Marathon Using eSim" organized by FOSSEE Team IIT Bombay, in which my design was ranked under the "Outstanding" category with a cash prize of INR 10,000. I have hands-on experience with the simulation tools like Synopsys Custom Compiler, eSim, Vivado, Pspice, and LTspice. I have also done layout designing and parasitic extraction of some basic logic functions using the ELECTRIC VLSI system design tool. Done DRC and LVS. I'm also familiar with the FPGA flow. In my 3rd year, I have done the implementation of a Robotic Arm controller using the FPGA. Verilog HDL was used to code the algorithm. Currently, I'm working on the " AQI estimation " using Image processing on FPGA. You can check my projects on my Github, the link is provided in my resume or in the website section of my profile. Feel free! to reach out to me if you are doing some cool stuff on FPGA or something related to VLSI and Circuit design.

Prajwal Matukumalli

college students, interested in project works for vlsi and memory design

Area of Expertise

Academic: Student

Surendra Anubolu

Skills

Verilog

Area of Expertise

Digital: RTL

Hicret Erkoç

I am an Electronics Engineering student interested in IC design.

Yashwanth Kumar Resham

PURSUING VLSI AT IIT BOMBAY

Maurya Patel

Skills

Verilog

Area of Expertise

Academic: Student

Iremnur Colak

Skills

C Java Verilog Vue

Area of Expertise

Academic: Student

Feng Cen

Area of Expertise

Academic: Research

Samanway Ghosh

Skills

Verilog VHDL

Area of Expertise

Academic: Student

Devadut S Balan

I am Electronics and Communications Engineering

Guilherme Vinícius Amorim

Electrical Engineering student at UFMG, scheduled to graduate in Dec/2022. Experience in the automotive sector by the Formula Tesla UFMG team, designing electrical and electronic systems. Exchange experience in South Africa for 3 months studying English and working voluntarily in needy settlements. Currently, work as Software Engineer at Cadence Design Systems.

Nathan S

Engineer at Science Corporation in Alameda, CA

Hon-Piu Lam

Hon-Piu Lam, is a Ph.D. candidate in the Department of Electronic and Computer Engineering of the Hong Kong University of Science and Technology (HKUST). He was an analog IC designer engineer in Valence Semiconductor from 2004 to 2007. From 2007 to 2015, he joined Fujitsu Semiconductor focusing on the embedded NOR flash design. He has been a researcher in HKUST focusing on the integrated power electronic and data converter. His research interests include readout electronics, integrated power electronics and radiation hardened electronics for experimental physics.

Karthikeyan Renga Rajan

I am a student at Anna University, Chennai, India. I am interested in Neuromorphics.

Area of Expertise

Academic: Student

Yash

Area of Expertise

Academic: Student

Leo Moser

I am a student who is currently taking a deep dive into the world of ASICs.

Muhammad Ovais Akhter

I am s PhD Scholar at Bahria University Karachi Campus. My research area is CMOS IC Design.

Joseph Cavallaro

Professor of Electrical and Computer Engineering at Rice University

Belal Iqbal

I am Ph.D Scholar at IIITD , my research area is In memory computation.

Ashutosh Kumar

I summarize myself as an extremely committed, utterly honest, very responsible, quite smart and very hard working person at my work while very caring, empathetic, sensitive and sensible person personally. curious by birth , gets very easily bored with routines and set patterns. always in search of making changes, productive changes in and around myself. Believes strongly in getting the basics right in every work/project done or to be done. An unbiased person believing strongly in equality, freedom & sovereignty of one self and of others.

Robin Roy

Newbie who is interested in Chip Designing.

Area of Expertise

Academic: Student

Muhammad Khaled

An avid learner in Analog integrated circuit design

Area of Expertise

Academic: Student

Anirban Mukherjee

Mtech student in vlsi at IIT Delhi

Antony Brayan Sanabria Calderón

9th semester Electronic Engineering student with knowledge in Simulink, C++, Orcad, LTspice XVII and Verilog. As an electronic engineer in training I have developed a strong interest about the design of analog circuits as well as the operation and manufacture of logic and graphics processors, in the same way I am interested in the use of solar energy and the efficiency in new technologies.

Area of Expertise

Academic: Student

Aravind Raj Swaminathan

A graduating IC design student with lots of passion and interest for electronics design!

Sasipriya P

Completed PhD in the field of Low Power VLSI Circuit Design. Area of Interests are: Low Power VLSI design, Approximate Computing and Embedded Systems

Muhammad Dawood Asghar

MS Electrical Engineering Fellow with a focus on Integrated Circuits and Systems Design. Skilled in Cadence (Virtuoso, Innovus and Genus)

Karla Julieth Camacho Mercado

As a 9th semester student of Electronic Engineering at Universidad Industrial de Santander, I have developed a strong interests for design of analog circuits, optimization in the construction of transistors and chips manufacturing as well as the aspects that go into it. I posses knowledge of MATLAB (Simulink and Simscape), ORCAD, LTspice XVII, C++ and Verilog.

Area of Expertise

Academic: Student

Husni Mahdi

System Architect Design Engineer

Liban Hussein

Graduate student passionate about integrated circuit design for biomedical applications

Dr D Gracia Nirmala Rani , Associate Professor, Thiagarajar College of Engineering

D Gracia Nirmala Rani received the B.E. degree in Electronics and Communication Engineering from Syed Ammal Engg College, Madurai, India, in 2004, and M.E. degree in VLSI Design from Karunya University, Coimbatore, India in 2007. She has awarded Ph.D. degree in VLSI Design from Anna University, Chennai India in 2014. She is working as an Associate Professor in Thiagarajar College of Engineering, Madurai since 2007. She teaches courses on system/digital and analog electronic design and VLSI processor architectures. Currently, five research scholars are doing their research under her guidance. She has authored or co-authored 42 international journal and conference papers like IET Circuits, Systems and Devices, Spinger, Wiley and Elsevier Publications. Also she has published 3 Books/Book Chapter in Springer LNCS and CCIS Publications. She has filed 2 Patent in BioMedical Engineering Field. She has guided the B.E students’ project which won the India Cadence Design Contest Award 2017 and 2018 instituted by Cadence Design System Pvt Ltd, Bangalore. In 2018, she was the technical program chair of the 22nd International Symposium on VLSI Design and Test. She is serving as a reviewer in IEEE Transaction on Nanotechnology, Elsevier and Inderscience Journals, respectively. Her research interests include RFIC Design, Physical Design Automation, Optimization Algorithms using Machine learning for IC and mixed signal circuits and systems for Bio-medical Devices.

Rashmi Jha

I am a professor in the department of electrical and computer engineering at University of Cincinnati. My areas of expertise are: CMOS, Beyond-CMOS devices such as RRAM, FeFETs, gated-RRAM, TFTs, novel device design, fabrication, and testing, neuromorphic computing, and hardware security.

CHINTU ANN MATHEW VE21-23

Mtech Scholar in VLSI

Skills

C/C++ Verilog

Area of Expertise

Academic: Student

Eunkyung Ham

MS student of Ewha woman's university, DSAL

Skills

Verilog SoC

Area of Expertise

Academic: Student

Humberto Portillo

I am a senior at California State University, Fullerton, graduating in May 2022. Also, I am very interested in creating and implementing designs for either hardware or software. Primarily, I am interested in working on creating and fabricating devices for any use. I am also willing to develop and extend my current knowledge on all subject matters I have learned during my undergraduate time at CSUF.

Atharva Thipsay

A Grad student trying to experiment in Hardware

Mubashir Saleem

MS IC Student at NUCES(FAST-NU)

Mariam Rakka

I am a PhD student in Electrical and Computer Engineering at the University of California, Irvine.

Ashesh Pangma

I am a MSc. Electronic engineering graduate interested in VLSI design.

Hareem Rashid

An electrical engineering student studying from FAST-NUCES with a vested interest in IC design.

Area of Expertise

Academic: Student

LOKESH BOGGARAPU

Automation enthusiast (likes to code in Perl). At this time, Doing Master's in VLSI Design and working as an intern @intel. Great learning experience doing both simultaneously. Have experience designing in both circuit (Low power & timing) & device level (high performance designs for digital applications). Obtained honor of 3rd position in concept design competition by ISRO for designing temperature & radiation resistant Tunnel FET. Would love to work with all device technology enthusiasts as opportunities arise.

Tahir Khan

Skills

C/C++ Verilog

Area of Expertise

Academic: Research

Yashas L.R

Graduate Student and Research Assistant at the MM-Wave, THZ and Photonics Lab (MTP), Arizona State University

ERIC HOFFMAN

Former ASIC designer Instructor at UW Madison

Usama Liaqat

Trying never to sit back and enjoy but to come forward and prove myself

Talha Bin Azmat

I am en Electronics Engineer currently Pursuing my Masters in IC design from FAST NUCES Islamabad.

Maximiliano Cerda

Skills

Verilog

Area of Expertise

Academic: Student

Usama Liaqat

Trying never to sit back and enjoy but to come forward and prove myself.

Syed Asad Alam

Post-doctoral research fellow in the discipline of Software and Systems, School of Computer Science and Statistics, Trinity College Dublin, with a keen interest in learning new things, specially related to computer science and engineering. Around 4 years experience of teaching and research and more than 10 years of experience in architecture design, optimization, analysis, implementation and verification of digital and digital signal processing systems on FPGAs and ASICs. Diversifying research career by working on quantization of deep convolution neural networks. Author of three peer reviewed journal publications and five international conference publications.

Venancio L Fuentes

Instructor in the Electronics Engineering Technology program at MVCC (mvcc.edu)

Skills

C/C++ Verilog

Area of Expertise

Academic: Teaching

Govindu Sathvik Reddy

micro-architecture enthusiast | student at IIT Indore

Dr. Naushad Alam

I am Dr. Naushad Alam working as an Associate Professor in the Department of Electronics Engineering, Z H College of Engineering & Technology, Aligarh Muslim University, Aligarh, India. I received B. Tech. degree in Electronics & Communication Engineering from Jamia Millia Islamia, New Delhi in 2003, and M. Tech. Degree in Electronic Circuits & Systems Design from Aligarh Muslim University, Aligarh in 2009. I earned Ph.D. degree in Microelectronics from Indian Institute of Technology Roorkee, India in 2013. My doctoral work was on nanoscale circuit design considering the impact of process-induced mechanical stress. He has published 29 papers in SCI indexed journals that include 10 IEEE Transactions and 33 papers in reputed conferences. I have supervised two PhD thesis in the area of device-circuit co-design and presently supervising two more PhD students. I have also successfully executed a UGC funded research project on TFET based SRAM cell design for IoT Applications. My research interests include device-circuit co-design, robust nanoscale circuit design, low power circuit design, PVT tolerant circuit design, Near-Threshold/Sub-Threshold circuit design etc.

Andalib Nizam

I am a Graduate Research Assistant at the University of Tennessee Knoxville, pursuing the PhD degree in Mixed-Signal Analog Circuit design.

Ali Sabir

I have done electronic engineering from University of Engineering and Technology Peshawar in 2021, currently, i am doing MS in Electrical Engineering (Specialization in IC Design) from National University of Computer and Emerging Science(FAST-NUCES).

Zhiyang Ong

Zhiyang Ong is a globetrotting, venturesome cultural chameleon tackling challenges related to U.N. Sustainable Development Goals, using a skill set that spans electrical engineering and computer science. He is a Ph.D. student at Texas A&M University's electrical & computer engineering department. He is currently working on noise-based logic and embedded deep learning, and had worked on problems in electronic design automation, VLSI formal verification, satisfiability modulo theories, network science (or complex systems), evolutionary computation, network optimization, and multi-objective optimization. He has also designed multiple VLSI circuits and systems, from SRAMs and processors to a Viterbi decoder and a tree adder. In his free time, he was recently working on solving the Quadratic Travelling Salesman Problem (QTSP) with his research collaborators, using an adiabatic quantum computer from D-Wave Systems.

jianxiang xu

Skills

Verilog

Area of Expertise

Academic: Student

Pavan Devarasetti

I'm a new grad Digital Design engineer working. Looking forward to contribute to open source silicon projects.

李瑞誠

Skills

Verilog

Area of Expertise

Digital: RTL

Mohd Hasan

I have been working as a Full Professor in Electronics Engineering Department, AMU, Aligarh.

bitluni

Maker and content creator. Lifelong learner and conveyor of skills.

Sahaana Kanagesan

Research Scholar(VLSI Design) at College of Engineering,Guindy,Anna University

jonathan fabian hurtado estupiñan

electronic engineering student

Area of Expertise

Academic: Student

shivdeep singh

Research Scholar at Department of Electrical Engineering, Indian Institute of Technology Ropar, India. Current research area is EMI Immune Amplifiers. Previous works include a Memristive adaptable ramp generator circuit for a on-chip training signal generator for trainable DAC, Modeling and hardware implementation of digital image watermarking algorithms.

Klaus Strohmayer

Independent Digital Design and Verification Expert with more than 20+ years of experience and founder of semify. While working for established semiconductor companies like Infineon, Dialog Semiconductor and NXP I was responsible for bringing ideas into working ASICs. I developed USound’s first ASIC from FPGA based prototyping to tapeout with minimal resourcing, demanding timeline and tight area and power consumption constraints. Currently I'm acting as a consultant for easyIC and Cypress / Infineon. In addition I'm is also lecturer at the FH Joanneum Graz and guest lecturer at the Technical University Graz.

Swapnil ..

Chief Engineer Embedded Systems, VLSI startup

Amudhan Balasubramanian

Over 25+ years of experience Silicon Engineer with focus on taking a Silicon from GDS2 to PRQ. Involved in Wafersort testing, Packaging, Packaging Testing, Silicon Characterisation - Electrical & Functional, Package Qualification, Reliablity testing and Yield management

Bertrand PIGEARD

Hello, I'm an IC Designer Analog/RF with digital skills. I worked mainly on PLL for mobile tranceivers. I used to work on Cadence Design flow for 20 years.

Darshan Guled

hello, my name is Darshan Guled, i am an engineering student and i am working on PICO RISc processor and RAVEN chip,

Anton Babushkin

Skills

Verilog

Area of Expertise

Digital: RTL

Mayuresh Rajwadkar

Silicon Entrepreneur with extensive experience in Digital Physical Design, EDA and HPC Infrastructures for Electronic Design.

vineet jain

Skills

Verilog

Area of Expertise

Academic: Student

Deepgandha Shete

M.Tech (VLSI Design and Embedded System) Actively looking for opportunities in the VLSI field

Prasanna Kumar Talari

just a beginner in asic

Swapnil Rawat

I am a student pursuing my masters in the field of VLSI

OPNESH DEWANGAN

PURSUING M-TECH IN VLSI SPECIALIZATION , FROM IIIT BANGALORE

Area of Expertise

Academic: Student

Alok Parmar

Skills

ASIC FPGA SOC Verilog

Area of Expertise

VLSI

Zhao Fei

Skills

Verilog

Area of Expertise

Academic: Research

ABHIJEET SINGH JADON

currently doing mtech in vlsi domain,want to gain knowledge in physical design and asic design flow

Area of Expertise

Academic: Student

Aditya Mudgal

Doing Mtech in VLSI Design from IIIT Bangalore

Lucas Heraldo Duarte

Engineering Electronic student of National University of Cordoba (UNC), Argentina. Active member of Robust Circuits and Systems Laboratory (LCSR), in exact and natural sciences faculty (FCEFyN).

Rakesh Singanahalli

Hello I am Rakesh , I am pursuing my Under Graduation in Electronics and Communication Engineering at KLE Technological University, Hubballi. I am looking ahead work in Digital VLSI Domain. :D

Petteri Mäki

Skills

Verilog

Area of Expertise

Academic: Research

Gangadhar Yedida

I am studying Electronics and communication engineering (Integrated MTech) in International institute of information technology, Bangalore . I want to get access to tools for course project .

Skills

Verilog

Area of Expertise

System: Fabrication Process

Jianwei Jia

Skills

Verilog

Area of Expertise

Academic: Student

Mahruz Aziz

Skills

Verilog

Area of Expertise

Digital: Verification

Vineeth Shirurmath

Student - KLE Technological University , Hubli

Skills

C/C++ Verilog

Area of Expertise

Academic: Student

Soorya K

Teaching Profesional

Monika Sidde

Right now, I am working on physical design of ASIC.

Area of Expertise

Academic: Research

ABHISHEK RAGHAVENDRA PAMADI

I am Abhishek Pamadi , studying engineering in KLE Technological University, I have keen interest in VLSI domain

Mahesh Bhat K

Still a Bachelor student..Trying to get know more always!!

Sultan Bepari

Hello I'm sultan, and I'm from KLE technological University hubli and I'm very exited to do this course on picorisc.

Shivam Potdar

RISC-V Enthusiast GSoC 2020 @ FOSSi Foundation RA @ CAD Lab, IISc Bengaluru, India EE Senior @ NITK Mangalore, India

Benjamin Mordaunt

Skills

Verilog

Area of Expertise

Academic: Student

Matt Venn

Electronic engineer and science communicator.

Skills

Verilog

Area of Expertise

Digital: RTL

Akil M

Undergraduate Student at NIT-Trichy

Venkata Anurag N/A Atmakuri

I’m an engineer always looking to learn, optimize and automate. I work on data analytics to provide deep insights into hardware designs, particularly physical design flow. Exploring areas to facilitate design engineers in taking data driven decisions for faster design turnaround times. I like to think about life. I like experimenting with different techniques (using software tools and meditation) to optimize my day and work effectively. In my free time, I’m learning Web Programming to build my own blog. I know there are templates and websites but where’s the fun and learning if you don’t do it from scratch? I like mentoring students on how to approach career and life in general.

Tom Parker

Skills

Verilog

Area of Expertise

System: FPGA Programming

VLSI Adi

Skills

Verilog

Area of Expertise

Digital: RTL

Ankit wahane

pursuing pg in vlsi system design from vnit

Area of Expertise

Academic: Student

H Prameeth

An engineer looking forward to making a career in digital vlsi design

Skills

Python Verilog

Area of Expertise

Academic: Student

Vamshidhar Reddy

I am passionate VLSI trainee looking to explore my skills and build few projects which enhance my coding and debugging skills.

Ashbir Aviat Fadila

Analog and Mixed Signals Engineering Students

P Santosh Kumar Patra

I am a final year Masters student of NIT Rourkela, I am interested in SoC designing using RISCV ISA

Area of Expertise

Academic: Student

Mike (Shiqiang) Li

A geek who looks forward to invent new technology to change the world.

Area of Expertise

Academic: Research

Brenda Gatusch

Skills

C/C++ Verilog VHDL

Area of Expertise

Digital: RTL

Geethanand N

Ex intel professional with over 5 years of experience in front end Vlsi

Mohit R

Graduate equipped with a Bachelor of Engineering in Electronics and communication with a concentration in Semiconductor and VLSI design with hands-on experience in CAD tools and to join a reputable organization to begin a fulfilling, lifelong career.

vinay k s

Verification engineer and quick learner and really enthusiastic to learn new things

Area of Expertise

SoC: Verification

Aliaa Fouli

Digital Logic Designer

Area of Expertise

Digital: RTL

venkatesh godavarthi

IP, SOC RTL Design engineer, Microarchitecture. Knowledge of Front End

Area of Expertise

Digital: RTL

CHDL Custom High-Speed Digital Logic

We are group of experienced engineers working in Front-End Digital Logic Design.

Manish Mahajan

I am a design / verification Engineer for ASIC and FPGA .

Dr. Arun Ashok

Analog/RF designer with more than 10 years experience.

Shaheer Sajid

Just a person trying to build a fully featured RISC-V micro-controller and looking cool :)

Shubham Tonde

I am recently completed my post-graduation in VLSI and Embedded system from coep pune(India). I like to work in a backend design of VLSI.

Narenthiran V M

Skills

Verilog

Area of Expertise

Academic: Student

Santosh Kumar

Skills

Verilog

Area of Expertise

Digital: DFT

Marc Rose

For most of my career, I was a CAD technology innovator and CAD system architect at Intel Corporation. I signed up recently for a Udemy class called "VSD - Making the Raven Chip: How to Design a RISC-V SoC." The class pointed me to Efabless.

Steven Bibyk

Associate Professor in Electrical and Computer Engr. Dept. Teaching and Research in Microelectronics. Teaching interests are in both discrete and integrated electronics, both for undergraduate electronics labs and projects and graduate integrated circuit design, verification, prototype, and test.

Samet GÜZEL

Skills

Verilog VHDL

Area of Expertise

Digital: RTL

Minhyuk Sung (Liam)

Hello. I am Liam and Analog enginner in South Korea. I want to participate this great opputinity and share my design to other people.

Sara Nia

Skills

Verilog

Area of Expertise

EE TPM

Aravind Raj Swaminathan

A fresh graduate interested in chip designing, learning new things everyday!

Area of Expertise

Digital: RTL

Ben R

I am a High School Student, Entry Electrical Engineer, and Computer Scientist I love to tinker with stuff and to innovate new ideas.

Nelson Rodriguez

An enthusiast of the Open Source World.

Area of Expertise

Academic: Student

Anurag Darbari

SubIP and SoC Design Verification Engineer. Extends to running Full Chip Emulation on Palladium and Protium Platforms.

Prabhat Khedgarkar

I have completed my M.Tech in VLSI Design from NIT Hamirpur, looking for a career in the VLSI Design.

Area of Expertise

Academic: Student

nitin.patil

Skills

Verilog

Area of Expertise

Digital: RTL

Viswagopal S

Analog,RF,Embedded Design Engineer having 2-3 years of experience in PCB Design, CMOS IC Design with hands on RF test equipment and testing experience

Astria Nur Irfansyah

Lecturer, Department of Electrical Engineering Institut Teknologi Sepuluh Nopember INDONESIA

Chris Jones

www.chrisj.org

Zain Rizwan Khan

Research Associate at Micro Electronics Research Lab (MERL) working as a hardware design engineer.

RAHUL SREEKUMAR

I am currently a second year PhD. student working in the field of Electrical Engineering at the University of Virginia. My research interests include Analog/Mixed Signal circuit design, Asynchronous computing hardware design, stochastic computing and Ultra-Wide Band transmission circuits

Steven Herbst

I'm a PhD student working to make mixed-signal chip design more accessible, drawing inspiration from software development techniques. Prior to starting the PhD program, I spent a number of years working in industry at both the chip- and PCB-level on optical sensors and power systems.

Vipul Lengade

A curious VLSI engineer

Area of Expertise

Digital: DFT

Tapas Kumar Maiti

Dr Tapas Kumar Maiti, a former associate professor at Hiroshima University Japan, moved to DA-IICT India where he is a faculty member, since June 2019. He was a visiting faculty to IIEST-Shibpur. He has amazing research experience at McMaster University, Canada and IIT-Kharagpur. He has published more than 100 papers in reputed journals and conferences, and also co-authored a book. He received ICMM Excellent Presentation Award, IAAM Scientist Medal, and University Gold Medal. He is a member of IEEE, and Life Member of IEI. Currently, he is working in the areas of Intelligent Computing Devices, Robotics, and Cybernetics.

Filip Gembec

Hello everybody! I am a FPGA developer in Croatia, I do FPGA project and am starting ASIC design as another careere choice.

Micro Electronics Research LAB (MERL)

I am currently working as a Research Associate. We are doing research and development on RISC-V Technology.

Ing Ming Tan

Electronics Engineering undergraduate in Malaysia

Skills

Tcl/Tk Verilog

Area of Expertise

Academic: Student

Ali Ahmed, Ph.D.

Ali Ahmed is an Assistant Professor of Electrical Engineering at UiT, Karachi. His current broader research interests are in computer architecture, IoT, and Information Security. He is especially interested in micro-architecture, with a major current focus on memory and storage systems. He has 10+ year experience in complete Product development Cycle of CoTs (hardware and software). Involved in product development from scratch, hardware designing, middle ware and application level development. Well versed in hardware platforms like FPGA s and Microcontrollers. He obtained his PhD and MS in ECE from the Hanyang University, South Korea where he designed and Implemented memory architecture of SRAM-based Ternary Content Addressable Memory using Xilinx Kintex-7 FPGA ( http://ieeexplore.ieee.org/document/7797247/) He obtained BE degrees in Electronics Engineering from the NED university of Engineering and Technology, Karachi. His industrial experience spans starting the Product development division at Horizon Tech, Islamabad (2008-2012).

Steve Kelly

Skills

Verilog

Area of Expertise

Academic: Research

Dan Rodrigues

Skills

Verilog

Area of Expertise

Digital: RTL

Jean Cyr

Retired 20 year Broadcom veteran. Advanced hobbyist,

Joel Sanchez Moreno

My name is Joel Sanchez Moreno I graduated as a Computer engineer and I currently work as a full time RTL design engineer for a start up. In addition, I am doing a part-time master on High Performance Computing on the Universitat Politècnica de Catalunya (UPC)

Harrison Pham

Skills

Verilog

Area of Expertise

Digital: RTL

Anthony Kung

Hi, I'm an Electrical & Computer Engineering + Computer Science student at Oregon State University.

Leonidas Kosmidis

I'm a Senior Researcher at the Barcelona Supercomputing Center and Junior Faculty at Polytechnic University of Catalonia (UPC). I'm the recipient of the RISC-V Educator of the Year Award 2019, for the advanced graduate course Processor Design I'm teaching at UPC, which is focused on the design of high-performance safety-critical systems. I'm the PI of the GPU4S project funded by the European Space Agency (ESA) in which we are investigating the applicability of embedded GPUs in space.

Sriharsha Ganti

Dynamic and career-oriented VLSI Verification Trainee. Looking for a responsible position as a VLSI verification engineer with a view to utilize and enhance my skills and experience towards professional and personal growth.

Kevin Dai

Skills

C/C++ Verilog

Area of Expertise

Academic: Student

Manar Abdelatty

Skills

Verilog

Area of Expertise

Digital: RTL

Ethan Polcyn

Skills

Verilog

Area of Expertise

Academic: Student

John Martinuk

Graduate Teaching Assistant of Purdue University's SoCET Team

Sree Sankar E

Skills

Verilog

Area of Expertise

Academic: Student

Vilmondes Ribeiro

Electronic and Telecommunications Engineer

Area of Expertise

Academic: Research

Usman Zain Ul Abedin

Research Associate at MERL mainly focusing of firmware and driver development for SoCs.

Charlie Smith

Working on a PhD in electronics and nanofabrication at the University of Glasgow. Interested in designing CPUs and SoCs with RISC-V.

Albert Tsoi

Skills

Verilog

Area of Expertise

Academic: Research

Furkan Sahin

Senior FPGA Design Engineer

Sathyanarayanan

I am accomplished digital design engineer having 8 years of industrial expertise . I am currently working on IP design and IP integrations stuffs .

Alex Belov

Skills

Verilog

Area of Expertise

Academic: Student

Vineel Jessy Talluri

Analog Design and Layout engineer, had knowledge and hands-on in RTL Design

Abdullah Shaaban

An aspiring microelectronics student that is keen on learning state-of-the-art technology, then participating in advancing it!

Area of Expertise

Academic: Student

Thiago Cruz

Area of Expertise

Microeletronica

Henrique Oliveira Leite Aroeira de Assis

Electrical Engineer, pursuing a Masters in Microelectronics

Hely Galvao Jr

Gentlemen: I have a professional background in the automotive industry, working in production management, IT and logistics where I worked as a technician, supervisor, coordinator and manager, which allowed me the following experiences: 15 years in the automotive industry, managing production and industrial logistics, planning, scheduling and production control in serial and batch production lines. With experience in dimensioning, planning and implantation of a manufacturing unit with productive start and logistics transfer without production losses. 20 years in IT management, in a soft house in the implementation, parameterization and maintenance of ERP Management projects (programming, database and systems analysis), maintenance and specification of electrical equipment, materials and systems. 4 years ago I started working with maintenance of electronics, commercial, industrial equipment , hospital, motors and transformers. Study and implementation of projects in the electrical discipline for overhead and underground networks, electrical infrastructures in residential, commercial, industrial works and maintenance of equipment in the electronics laboratory. Research and development of Brain-Machine Interfaces. As a form of relaxation and leisure, I developed an aptitude for fine arts where I have worked as a draftsman, painter, sculptor and modeler for over 20 years. I have mastery of Project Management methodology, knowledge in several SAP systems, in addition to Advanced Office package

Alex Novickis

A bit of everything - mostly focused on networking system level designs / FPGA / Switch Fabric from concept to validation - and now automotive

Shrihari G

Driven by my fascination for innovation and technology, the vast field of science has been my home for a quite a time now. Having my schooling done at Lisieux school and my bachelors going on in PSG College of Technology, I have always firmly believed in education beyond classrooms. And the company I founded, Technowiz, was solely for offering innovative and practical courses to the young minds of schools around here. Technowiz offers advanced wholesome courses in the promising field of robotics, electronics and beyond. I have been also actively involving myself in many projects related to my major - Electronics and Communication Engineering of which Cost Effective IoT enabled automation of Spray Pyrolizer, a project at Thin Film Center of Excellence, PSG College of Technology funded by PSG STEP a notable one. I am deeply interested in working in cutting edge areas of engineering to solve problems with the vision of making the world a better place to live. The future is science. The future is now! Check out: https://shrihari.ga https://technowiz.tk

Area of Expertise

Academic: Student

Lakshana Ramalingam

Skills

Verilog

Area of Expertise

Digital: RTL

Pradeep C

I hold a Doctoral Degree in ‘Information and Communication Engineering,’ with 22+ years of experience in engineering education in various capacities. I have more than 30 research articles, presented and published in various National, International Journals and Conferences. My research interests are in the following domains and are not confined to VLSI, AIoT, Intelligent Transportation for Smart Cities in India, FPGA-based System Design, etc. My professional membership includes a Fellow, in the Institution of Engineers (India), a Fellow in the Institute of Electronics and Telecommunication Engineers, a Senior Member of IEEE, and a member of ISTE & ACM.

Tayyeb Mahmood

Computer architect, SoC designer, Electrical Engineer

Raghuraman K K

Graduate Teaching Assisstant at Purdue SoCET Team

Osaze Shears

Osaze Shears is passionate about many engineering and computational concepts. These include embedded systems, application-specific integrated circuits (ASICs), field-programmable gate arrays (FPGAs), and microprocessor technologies. Osaze spends his free time tutoring other students who are interested in learning to become better computer scientists and engineers to benefit the greater society. Osaze is currently a PhD student at Virginia Tech conducting research under the Multifunctional Integrated Circuits and Systems (MICS) lab. His research interests include: • Spiking Neural Networks • Hardware Acceleration • SoC Design with ASICs and FPGAs • Deep Learning • Edge Computing

Milind Potdar

Microelectronics Enthusiastic, Vast experience in embedded design for various domains.

Gagan Gupta

I am an enthusiastic proponent of open source hardware. See my position paper on the topic: https://ieeexplore.ieee.org/document/7945172.

ADROITEC SYSTEMS PVT LTD

Adroitec Systems is design Services Company for the VLSI and Embedded Software. Founded in 2017, Adroitec Systems is having Register Office at Visakhapatnam with branch offices in Bangalore. Adroitec Systems delivers cutting edge solutions across a diverse portfolio of services including Physical Design, Physical Verification, and Design Verification. With about 50 highly qualified employees.

Pradeep Kumar Velidi

I am a young student, growing high with a passion for VLSI. I am highly interested in working on high-speed low-power RTL chip design.

MAKAM MANIKYA RAKSHITH

Engineering Student

Area of Expertise

Academic: Student

AKIL K SUTTON

Semiconductor technologist with deep expertise in device fabrication and mixed signal circuit design for high-performance compute applications.

Jair Garcia Lamont

Designer specialized on VLSI radiation tolerant architectures and circuits

ARVIND VIJAYAKUMAR

Msc Microelectronics from TU Delft. Passionate about Analog IC designs.

S Skandha Deepsita

Chip-design enthusiast, passionate to innovate. PhD Scholar @IIITDMKancheepuram

Anmol Purty

I am a fresher interested in VLSI design. I have completed my Masters in VLSI Systems Design in the year 2020.

ARINDAM MANDAL

I am currently a postgraduate student at the University of Calcutta and graduate with a Bachelor of Science Degree in Electronics, which will broaden my aptitude in numerous aspects. The curriculum is designed for proficiency in problem-solving techniques, organizational skills, and other mechanics that will help me to execute solutions. Which gives me the opportunity to explore in-depth learning experiences in various technology. I am interested in astrophysics, radio astronomy, solar physics, and other space and atmospheric research, also in semiconductor physics and VlSI technology.

Jing Yu

Skills

Verilog

Area of Expertise

Academic: Research

Akash Levy

I'm currently a PhD candidate at Stanford studying Electrical Engineering with Prof. Priyanka Raina. I graduated from Princeton with high honors in Electrical Engineering and certificates in Applications of Computing and Engineering Physics. I completed my Stanford M.S. degree in EE; my current PhD research focuses on emerging memory/circuit technologies (resistive RAM/NEM relays) and efficient reconfigurable computing (FPGAs/CGRAs). I consider myself an eclectic learner who has never been satisfied to work in just a single area. In the past, I've done research projects across an extremely wide array of subjects, including oxide nanoelectronics (Prof. Patrick Irvin, Pitt), stochastic simulation of biochemical processes (Prof. Marcus Dittrich, PSC), deep learning applied to petroleum well data (Prof. Verena Kaynig-Fittkau, Harvard/QRI), and development of quantum cascade devices in group-IV materials (Prof. Claire Gmachl, Princeton). My senior thesis at Princeton with Prof. Prateek Mittal was focused on privacy threats posed by Content Delivery Networks. I've also worked as a software intern at two companies, GoFundMe and Amazon; there, I took on data science and analytics problems affecting business. Recently, I completed an (entirely virtual!) internship at NVIDIA focused on improving circuit design with AI. Additionally, I have several personal projects I'm proud of: an analytics engine for online Set! to understand how people learn the card game, enabling Amazon Alexa home assistants to rap battle each other, studying graph techniques for understanding musical collaborations... and so on. I love meeting/hearing ideas from new people, so feel free to contact me. Cheers!

Kenji Nakamura

Skills

Verilog

Area of Expertise

Business: Management

Terrence Anthony Hussey

I've done everything from silicon design at the "bottom of the stack" to System Architecture and Design (with plenty of SW to go with it; at every level)

Stephen More

Hi I'm a MASc Student at University of Toronto. My research focuses are FPGA architecture, DL Acceleration, Heterogenous devices and CAD tools.

liu jiangqing

de worker

Area of Expertise

Analog: Verification

Dhruva Hegde

Masters Student (Integrated Circuits and Systems) at IIT Bombay.

Sunil Ramanlal Parmar

My name is Sunil Parmar, I have done a master from IIT-Bombay in 2005 and a bachelors from Gujarat University in 2003. After post-graduation, I joined a service company in Hyderabad and later worked with Intel Bangalore. I have worked with the Samsung R&D center in South Korea for Silicon photonics applications and later contributed in Samsung's DDR4 memory IO design. As an employee, I have published few patents and papers. In 2016, I returned to India with a very ambitious goal to set up a semiconductor product company called Powency Circuit Private Limited in the power management domain. In between, After Covid, I decided to develop a MEMS Microphone product and started a project called Sikern. We are currently a small team having three design engineers, one packaging expert and one management staff. We are working with a Japanese supplier and a Malaysian packaging group for our product development. And developing our own ASIC for this MEMS microphone. We started working with a smartphone customer in India for their upcoming mobile model. And hope to work with many more customers within India as well across the globe for our Microphone product.  

Zain Siddavatam

A Computer Architecture Enthusiast, Undergrad Student

Shida Cheng

Master student in the University of Sheffield

sanjay kamat

I am a senior ASIC and IP development person. My strength is in building high performance ASIC teams that deliver high quality ASIC and IP products .

Omar Almazrouei

Skills

Verilog

Area of Expertise

Academic: Research

Cara Capstick

Starting small and hopefully moving towards big ideas. Interest in FPGAs, HDLs and Open Source Software and Hardware. Several decades of IT business experience.

baoshan liang

Skills

Verilog

Area of Expertise

Academic: Student

Anton Maurovic

A software developer, technology manager, and hardware tinkerer aspiring to imbue slices of crystals with intelligence.

Ram Tripathi

Electronics Nerd with a passion for neuromorphic chip design

Area of Expertise

Academic: Student

Asset Malik

Skills

C/C++ Verilog

Area of Expertise

Academic: Student

帅 郭

Skills

C/C++ Perl Verilog

Area of Expertise

Digital: RTL

JOHN RIVERA

As an experienced Electronic Engineer with a solid foundation in FPGA design, embedded systems, and IoT, I specialize in high-level hardware programming and real-time signal processing. With expertise in RTL, RISC-V, Verilog, SystemVerilog, and VHDL, I bring a robust understanding of digital design to my work. My professional background includes over a decade in the PCBA assembly industry, where I programmed and configured SPI and Chip Mounter machines, managed production processes, and handled CAD and Gerber files for precision assembly. Additionally, I am well-versed in designing and testing complex circuits using tools like Proteus, Fritzing, and Altium, with a particular interest in the emerging role of AI in electronics. As a career coordinator, former educator in electronics, and an active researcher, I blend practical industry experience with a passion for fostering innovation and teaching in the electronics field. My recent projects involve integrating IoT with embedded solutions, exploring the intersection of FPGA technology with real-time applications, and continually expanding my knowledge in artificial intelligence.

Skills

Digital Design & FPGA Development: Proficient in VHDL, Verilog, SystemVerilog; extensive experience with FPGA-based projects, including RISC-V processor design, RTL, and high-performance digital logic. Embedded Systems: Skilled in microcontroller programming and embedded system design, with hands-on experience in STM32, Arduino, and ESP32 platforms. PCB Assembly & Manufacturing: 10+ years of expertise in PCBA assembly, including programming and configuring Panasonic CM602 machines, managing SPI and Chip Mounter systems, and working with CAD and Gerber files. Circuit Design & Simulation: Proficient in circuit design and simulation with Proteus, Fritzing, and Altium Designer, applied in various real-world applications, including prototyping and testing. IoT & Data Acquisition: Experienced in integrating IoT solutions with embedded systems, using technologies like Wi-Fi, Bluetooth, and cloud data management with platforms like Ubidots and Google Sheets. Signal Processing & Biosensors: Knowledgeable in EEG signal acquisition and analysis, with experience using Cyton Biosensing Boards and OpenBCI hardware for real-time data applications. Project Management & Coordination: Skilled in coordinating cross-functional teams in technology projects, including overseeing electronic design and manufacturing processes, conducting ISO internal audits, and managing production and quality assurance teams. Software & Programming: Competent in Python for data analysis, automation, and Odoo development; familiar with HTML, CSS, and JavaScript for web-based applications and data visualization. Teaching & Mentoring: Background in academia as a former electronics professor, with a talent for explaining complex concepts, developing educational materials, and guiding students in practical and theoretical electronics applications.

Yusong Huang

Skills

Verilog

Area of Expertise

Academic: Student

Abdulrahman Alaql

Hardware Developer

Radha Krishna

A VLSI enthusiast.

Daniel Kim

Skills

Verilog

Area of Expertise

Academic: Student

Hareesh V

Researcher in VLSI

Area of Expertise

Academic: Research

RAHUL KUMAR

verification engineer

Area of Expertise

Digital: Verification

Alex P James

Professor Alex James received the Ph.D. degree from the Queensland Micro and Nanotechnology Centre, Griffith University, Brisbane, QLD, Australia. He works as a Professor of AI hardware at School of Electronic Systems and Automation, and Dean (Academic) at Digital University Kerala. He works in the broad area of brain-inspired systems, memristive systems, intelligent semiconductor devices, analog circuits and imaging systems. He is Prof-in-charge of Maker Village that supports over 80+ hardware startups. He is Chief Investigator of the centre for Intelligent IoT Sensors, and India Innovation Centre for Graphene, developing new products to the market. Dr. James was the founding chair for IEEE Kerala Section Circuits and Systems Society. He is a member of IEEE CASS Technical committee on Nonlinear Circuits and Systems, IEEE CASS Technical committee on Cellular Nanoscale Networks and Memristor Array Computing, IEEE Consumer Technology Society Technical Committee on Quantum in Consumer Technology (QCT), Technical Committee on Machine learning, Deep learning and AI in CE (MDA) , Member of BCS’ Fellows Technical Advisory Group (F-TAG) and Member of SIG on Electronics for Agrifood.

Elif Bilge Kavun

Skills

Verilog

Area of Expertise

Academic: Research

datnass soc

Skills

Verilog

Area of Expertise

Academic: Research

chin keong Lam

founder of patho.ai, using latest ai tech to accelerate pathology and drug discovery research using custom HDL HPC computation power of performing insilico simulation and compounds search and discovery.

Skills

Verilog

Area of Expertise

CAD: Tool Development

Osiris Group

We are an open-source research group dedicated to developing cutting-edge RISC-V architectures for integrated systems. Our focus is on IC design and the development of soft IPs, SoCs, and ASICs for both general-purpose and low-power applications. Our team is committed to advancing the field of VLSI design through innovative research and practical solutions.

Skills

Verilog

Area of Expertise

Digital: Placement and Routing

Steve Casselman

I'm the founder of reconfigurable computing. https://bit.ly/WhoIsSteveCasselman

Aisha Khan

I am a current Master's student in IC (Integrated Circuit) and Systems Design, as well as a Research Assistant at the Micro Nanoelectronics (MiNE) Lab located at SEECS NUST. I completed my Bachelor's degree in Electronics Engineering from UET Peshawar. As a member of the MiNE Lab team, I am involved in cutting-edge research in the field of micro and nanoelectronics, with a specific focus on the design and development of integrated circuits and systems. My academic and research background has provided me with a strong foundation in electronics engineering, and I am passionate about utilizing my skills to contribute to the development of innovative technologies in this field.

Senol Gulgonul

Skills

Verilog

Area of Expertise

Academic: Teaching

尾崎巧基

Skills

Verilog

Area of Expertise

Academic: Student

Herbert T

Area of Expertise

Digital: RTL

Over Amaya

Currently an eighth semester student of electronic engineering, interested in IC design and continue learning, with experience in verilog, matlab, digital design, TSMC 180 nm design kit. As a student I have also taken the support of subjects in my career as a funament of analog circuits.

MengCheng

Skills

C/C++ Verilog

Area of Expertise

Digital: RTL

Mohd Aftab ahmed

Skills

Verilog

Area of Expertise

Academic: Student

Ashwini Pathak

"Architecting the dreams of a digital future, pixel by pixel, gate by gate."

Efe Bayrakçeken

A student that loves to tinker

Muhammet Enes Yanık

Undergraduate student at Gebze Technical University, Turkiye. Works on custom instructions on Risc-v cores and Risc-v arthitechture.

Cristiano Ronaldo

new ICer

Skills

Verilog

Area of Expertise

Academic: Student

Gavaskar K

Postdoc Research Scholar at Heidelberg University, Germany.

Stephen Hellriegel

EE PCB, Systems, Mechnical, Cooling, Power Used to sling a lot of gates (polygons) in esoteric processes (Gallium Arsenide, JJ)

Hassan Raza

I'm Design Verification Engineer working on RISCV processors.

宏立 郑

Skills

Verilog

Area of Expertise

Digital: RTL

Spencer Bowles

I am an undergraduate student at Purdue University.

ben cohen

Retired engineer interested in SV and SVA. Wrote several books and papers on SVA, PSL, VMM, chip design and verification, VHDL. Ben@systemverilog.us Link to the list of papers and books that I wrote, many are now donated. http://systemverilog.us/vf/Cohen_Links_to_papers_books.pdf or https://rb.gy/ibks5p

Area of Expertise

Academic: Teaching

ye yang

Skills

Verilog

Area of Expertise

Digital: RTL

Dipesh Dhayfule

Currently i am in first year of PhD program in analog circuit design.

SUMANTO KAR

Researcher at Indian Institute of Technology, Bombay

Anthony Kung

I'm a Graduate Research and Teaching Assistant at Oregon State University.

Cal Poly CARP

Cal Poly CARP is a computer architecture research group of professors and students at Cal Poly, San Luis Obispo, that has the goal of developing a framework for building SoCs. The advising professor is Joseph Callenes-Sloan.

Raj Patel

Skills

Verilog

Area of Expertise

Digital: Verification

牛诗然

A student wants to study ASIC design.

Skills

C/C++ Verilog

Area of Expertise

Academic: Student

Mustafa Khatri

I am a student currently studying in 4th year of Bachelors in electronic engineering in Mehran University of Engineering & Technology, Jamshoro, Pakistan

Skills

C/C++ Verilog VHDL

Area of Expertise

Academic: Student

Vladimir Vesely

Analog Mixed-Signal Engineer at InertialWave. Developing next gen electronics for resonator control and sensing.

Ramesh Fernando

I'm a PhD student focusing on the Edge AI accelerators and Neuromorphic computing at Edge AI Acceleration Lab, Singapore University of Technology and Design

Area of Expertise

Academic: Research

Rohan Kumar

Skills

Verilog

Area of Expertise

Academic: Student

Muhammad Ali Farooq

Hi! I'm a senior student at the National University of Sciences and Technolog (NUST), and majoring in Electrical Engineering. My focus is Digital Design and FPGA fabrics.

zweibing

Area of Expertise

SoC: Verification

Narendren S

Push yourself beyond your limits

Skills

Matlab Verilog

Area of Expertise

Academic: Student

Changil Son

Developing the High Performance Computing SoC

Gitefu

Skills

Verilog

Area of Expertise

Academic: Student

Kanata Abe

Area of Expertise

Academic: Student

Adrian Yap

Area of Expertise

Digital: RTL

Ananya Mishra

Area of Expertise

VDF

danyang chen

Skills

Verilog

Area of Expertise

Academic: Student

Xuxin

I majored in electronic science and technology for my undergraduate degree and integrated circuit engineering for my postgraduate degree. During this period, my research direction was analog integrated circuit design and application.

Mohammed E. Elbtity

PhD Candidate at the University of South Carolina

Chandrashakhar Patil

I am Phd Scholaer in BMSCollege of Enggg. Bangalore.

Jean-Sébastien Staelens

Technology enthusiast, passionate by innovative cutting edge projects

Mohamed mustafa

Passionate about digital electronics and aspiring to launch a career in this dynamic field. Currently seeking an internship opportunity to apply and further develop my knowledge and skills in digital electronics design, verification, circuit analysis, and FPGA programming. Implemented CORDIC Algorithm, UART Tx and Rx module and the Baud rate Generator, Single cycle Risc-v 32 bit processor and LFSR(Linear feedback shift register) , all using Verilog HDL. I am enthusiastic about learning from industry professionals and contributing to innovative projects. With a solid foundation in Verilog HDL, digital logic and a strong drive for continuous growth, Open to opportunities that foster professional development and hands-on experience in digital electronics.

Area of Expertise

Academic: Student

Kaustab Shomu Dutta

I AM CURRENTLY PURSUING ELECTRONICS AND COMMUNICATION ENGINEERING FROM BANGLORE

Pramod Ananda

PRODUCTS AND CONSULTING IN AEROSPACE, SEMICONDUCTORS, SAAS, ROBOTIC EQUIPMENTS, COMPUTE SYSTEMS, QUANTUM, TELECOM AND INDUSTRIAL.

Mohamed Hossam

My name is Mohamed, I'm from Egypt, I'm studying at the electronics and communications engineering department at Cairo University, I'm an undergraduate student and my expected graduation year is 2024. I am interested in digital design track, I have done many projects related to this field. I am very passionate to get this experience and I am sure that I will do great work with you.

Marco Merlin

A human being, professional in microelectronics and telecommunications, passioned about electronics, open source, and music.

Saad Gillani

My name is Syed Muhammad Saad Ahmed Shah, and I am an ambitious student pursuing a degree in Electrical Engineering at NUST (National University of Sciences and Technology), specializing in chip designing and digital system design. I am writing to express my sincere interest in contributing my skills and passion for chip designing to your esteemed company. Academic Background: During my academic journey, I have excelled in various courses that form the foundation of integrated circuit design. My coursework includes advanced topics in digital logic design, microelectronics, VLSI, and FPGA programming. I have gained hands-on experience in Verilog programming and have successfully implemented FPGA-based projects, including dynamic positioning of wind power platforms and ball and beam control systems. Passion for Chip Designing: My interest in chip designing stems from its potential to revolutionize technology and create innovative solutions that positively impact society. The idea of crafting complex electronic systems from scratch and optimizing their performance intrigues me. I am eager to explore the world of ASIC and FPGA design, as I believe it aligns perfectly with my passion for creating cutting-edge semiconductor devices. Skills and Attributes: Apart from my technical skills, I possess strong analytical and problem-solving abilities. My adaptability and eagerness to learn allow me to tackle new challenges with determination. I value teamwork and collaboration, and I thrive in environments where ideas are shared and cultivated collectively. Future Goals: As I move forward in my academic journey, my goal is to be at the forefront of chip designing and contribute to the development of advanced technologies. I see myself as a part of a dynamic team, working on groundbreaking projects that shape the future of the semiconductor industry.

Kaitlyn Chang

Skills

Verilog

Area of Expertise

Academic: Student

Bhargav Dhoke

Skills

Verilog

Area of Expertise

Academic: Student

Obaidullah Ahmed

An aspiring young electrical engineering student from Pakistan trying to learn and build my expertise in the FPGA/SoC development world with Xilinx Zynq platforms. Working on a machine learning accelerator on Digilent Cora Z7 (Zynq 7000 series SoC) board for my senior year project.

Abir J Mondal (Ph.D)

I am a faculty in the department of Electronics and Communication Engineering, National Institute of Technology Arunachal Pradesh, India. Besides, I am associated with the Special Man Power Development Program in the department to undergo research in VLSI. My interests include temperature sensors and SerDes design. I am familiar with Cadence Virtuoso and vigorously monitoring students working in the said areas.

Saulius Noreika

Semiconductor education hobyist

Skills

Verilog VHDL

Area of Expertise

System: FPGA Programming

Yasin Yılmaz

Skills

Verilog VHDL

Area of Expertise

Academic: Research

Berna Ors Yalcin

Berna Örs Yalçın received the Electronics & Communication Engineering degree and the MSc degree in 1995 and 1998, respectively, both from the Istanbul Technical University (ITU), Turkey. She received the Electrical Engineering degree in applied sciences from the Katholieke Universiteit Leuven, Belgium, in 2005. Currently, she is a Professor at ITU. Her main research interests include cryptography, embedded systems, and side-channel attacks.

Muhammad Abdullah

I am a recent graduate who have done his Bachelors of Science in electrical with specialization in electronics.Currently I am doing my masters in IC designing. Mainly my field of research is in analog design,but overall my interest for IC designing is vast and I am eager to learn all the concepts and tools whether they are related to analog or digital.

Carlos alfredo cortez alvarez

Me gusta pogramar y la ciencia

Skills

Python Verilog

Area of Expertise

Academic: Student

ROSHAN BINU

Skills

Verilog

Area of Expertise

Academic: Student

Umar Yakub

Simple and understanding

Area of Expertise

Business: Design Services

Michael Smith

I exist.

Skills

Verilog

Area of Expertise

Digital: DFT

harvin iriawan

Skills

C/C++ Verilog

Area of Expertise

Digital: RTL

Danruh Ryan Tarog Lasta

A recent Electronic Engineering graduate

Anil Keste

30+ years industry experience in hardware and SOC design, with specialisation in Functional Verification, Silicon Validation, SI/PI and Board design

Usama ishfaq

Hello, my name is Usama Ishfaq. I am an electronics engineer with expertise in IC design. With years of experience in the industry, I have developed a strong understanding of the complexities involved in designing and developing integrated circuits. My passion for electronics and technology has driven me to constantly learn and innovate, ensuring that I stay up-to-date with the latest advancements in the field. I take pride in my ability to work collaboratively with teams and clients to deliver high-quality solutions that meet their specific needs. Thank you for taking the time to read my introduction.

Akhil Tendulkar

Skills

Verilog

Area of Expertise

Academic: Student

Ravi Teja

I am a results-driven Electrical Engineer with a strong foundation in digital design, RTL to GDSII flow, and system-level architecture, complemented by hands-on experience with FPGA and ASIC environments. With expertise in Verilog, SystemVerilog, and VHDL, I have designed and implemented advanced digital systems, including high-efficiency MLP architectures and custom ASIC designs for optimized performance and power efficiency

JAIKISHORE G

FPGA Design Engineer

Skills

Python Verilog

Area of Expertise

Digital: RTL Digital: Synthesis

张维桓

Area of Expertise

Academic: Student

IVO GAY CARAMUTI

Electronic engineering student at the National University of Córdoba

Area of Expertise

Academic: Student

guanyan Lye

Skills

Verilog

Area of Expertise

Digital: RTL

Dirk Koch

Academic leading a research group active in FPGA research and open-source.

xiang lu

Skills

Verilog

Area of Expertise

Digital: RTL

Mark Zakharov

Area of Expertise

Digital: RTL

QunKai Lin

Skills

Verilog

Area of Expertise

Academic: Student

Kieran Cosden

Electrical Engineering Student at the University of Notre Dame

ömer faruk sert

Skills

Verilog VHDL

Area of Expertise

Digital: RTL

John Howe

Junior (3rd Year) Electrical Engineering Undergraduate at the University of Notre Dame and Cornell SUPREME Microelectronics Fellow Previous work experience includes an extensive research background in nanomaterial synthesis and novel semiconductor-based memory devices, and coursework in digital integrated circuit design. Includes over 350 hours of cleanroom experience and proficiency with various analytical and scientific instrumentation and software. Published 1 first-author IEEE conference paper. Co-authored a conference paper accepted to IEDM 2024 and one journal paper submitted to ACS Nano. Club experience includes holding an officer position (Secretary) and Electrical Team Lead in the Robotic Football Club (RFC), with a focus on robotics and hardware engineering. Plays the Tuba with the University of Notre Dame Band of the Fighting Irish at various sporting events and other performances. Holds 4X consecutive Dean's List standings and membership in IEEE HKN and TBP, demonstrating consistent academic excellence.

Mohd Rizwan

I am very dedicated to learn new things.

Area of Expertise

Digital: RTL

Hunter Flick

University of Notre Dame, Class of 2026 Major: Computer Science Minor: Energy Studies

wzcuifan

Skills

Verilog

Area of Expertise

Digital: RTL

Olivia Zino

Notre Dame Computer Engineering C/O 2026

Yuri Panchul

RTL design engineer with experience developing mobile GPUs (Samsung), high-end networking ASICs (Juniper) and CPU cores (MIPS). Co-author of lab manuals and online courses on FPGA design, RTL2GDSII flow and computer architecture. Founder of Verilog Meetup, a Silicon Valley and online community that develops open-source SystemVerilog examples targeting three niches: beginners, students who want to prepare for microarchitectural job interviews and university professors who want to avoid FPGA vendor lock (our examples are interoperable between Xilinx, Altera, Gowin and Lattice and compatible with ASIC flows, such as TinyTapeout and eFabless (in progress)).

Mary Briamonte

Hi. I am a junior at the University of Notre Dame majoring in computer engineering. I am passionate about the intersection between storytelling and technology. I enjoy the challenges that come with debugging code as well as learning from the experiences of other women in STEM. Besides frequenting Stack Overflow in attempts to make my errors magically disappear, I like to play volleyball, practice Irish Dance, watch the Yankees, wear Chuck Taylors, explain to my friends that the bagels from Jersey are better than the bagels from their home state, and spend time outside with my sister.

Tejas Nafde

Pre-Final Year Electronics Student at BITS Pilani, Goa.

誠 許

Taiwan NYCU ECE 3rd grade student

Emil Goh

Skills

Python Verilog

Area of Expertise

Academic: Student

Maciej Gabryelski

I am working as embedded software developer.

Skills

C/C++ Verilog VHDL

Area of Expertise

Digital: RTL

Manny Hamer

Computer Engineering Student at the University of Notre Dame who is interested in AI and Chip Design

Benjamin Nesco

I am an Undergrad Student from KNUST, Ghana and I am currently reading electrical and electronics engineering. And I am more interested in how I can incorporate Machine Learning into HDLs.

Sam Pardy

I am a computer engineering student interested in working at the intersection of hardware and software.

Peter Herrmann

Computer Architecture Research Project

chikkaraju arun

Skills

Verilog

Area of Expertise

Academic: Student

Muhammed Ali Koşal

I'm a electrical engineering student in Turkey and intrested in VLSI design.

Area of Expertise

Academic: Student

Pratik Kumar

I am an M.Tech Fresher in VLSI from NIT Calicut

天宇 陈

Skills

Verilog

Area of Expertise

Digital: Verification

Harsh Rajeshbhai Vagadiya

VLSI Enthusiastic

Area of Expertise

Academic: Student

Arya Dwi Pramudya

Skills

Verilog

Area of Expertise

Academic: Student

娄卓帆

Area of Expertise

Academic: Student

deLini1612

Skills

Verilog

Area of Expertise

Academic: Student

birdybro

Just an FPGA hobbyist learning.

Sai Srinivas TNS

VLSI DOMAIN SKILLS --------------------------- • Digital Electronics • HDL: Verilog • HVL: System Verilog • TB Methodology: UVM • Protocols: APB, UART, I2C, SPI • EDA Tools: Modelsim, Quartus Prime, Questasim. VLSI RTL SKILLS ---------------------- • Digital Skills : Combinational and Sequential circuits • FSM • Memories • Verilog : Data types • Operators • BA and NBA • Delays in Verilog • Begin-end and fork-join Blocks • System tasks and Function • Compiler directive • FSM Coding. VLSI VERIFICATION SKILLS ------------------------------------ • System Verilog HVL: Data Types • Memories • Interface • OOPS • Constraint Randomization • Threads • Functional coverage • CRCDV • SV Testbench (Hands on SV Testbench) • Universal Verification Methodology: UVM Objects and Components • UVM Factory • UVM Phases • UVM Configuration • TLM • Virtual Sequence and Sequencer • UVM Testbench (Hands on UVM Testbench).

Remigiusz Rutkowski

Analog guy in digital business

Suhas Kudlur Viswanath

skudlur.github.io

Area of Expertise

Academic: Student

Vilan Jayawardene

Dedicated, innovative and enthusiastic individual with consolidated expertise in Digital Electronics, Data Sciences, Robotics and IoT. A confident leader who effectively collaborates with the team members to accomplish all goals in an accurate and timely manner.

Alejandro Pasciaroni

I am ASIC digital designer engineer, always keen to explore new alternatives, methodologies, designs. I like challenges and always proactive to help.

Adip Kumar Dutta

Three decades in SoC architecture and system designing . Motion control, sensor design and electro-optics,

M Naveed

MS IC Design Student

Haziq Rohail

A PhD student working in WEST (Wireless Environmental Sensor Technology) Lab with a focus on Analog IC design.

Om Choube

Electronic or VLSI engineer ready to make chips

Kevin Walker

Skills

C/C++ Verilog

Area of Expertise

Academic: Student

Facundo G Aguirre

M.S. Computer Engineer, Cybersecurity, IoT Consultant.

KAI ISHI

Skills

Verilog

Area of Expertise

Analog: Layout

Will Adelman

Skills

C/C++ Verilog

Area of Expertise

Academic: Student

HUGO HERNANDEZ

Hugo Hernández received his B.S. degree in Electronic Engineering from The Industrial University of Santander, Colombia in 2005 and M.S. degree in Electrical Engineering from Polytechnic School of the University of São Paulo (EPUSP), Brazil in 2008. Hugo obtained his Ph.D degree in Electrical Engineering from University of São Paulo in 2015. Hugo is an Analog/Mixed‐signal design engineer with strong background in IC design techniques, industry experience on ASICs for sensoring applications and hands on experience in 28nm, 55nm, 65nm, 130nm, 180nm and 0.35um CMOS process nodes. Research interests include Low power Data Converters, SAR ADCs, DACs, PLLs and Low power analog design.

Varun Taneja

Computer Engineering Student at the University of Notre Dame

Khải Minh Mã

Skills

Verilog

Area of Expertise

Academic: Research

Dhanunjaya Achari Kammari

A dedicated Physical Design Engineer aspiring to craft silicon for real-world applications.

Antonio Karam

I am currently in my junior year studying computer engineering at the University of Notre Dame. Alongside that, I am pursuing a concentration in cybersecurity and a minor in engineering corporate practice. My curiosity was initially drawn to the puzzle-like nature of security problems, which led me to major in Computer Engineering in an effort to dissect both the hardware and software sides of computer systems and expose their vulnerabilities. The more I learned about cybersecurity, the more I realized its criticality in safeguarding individuals and organizations and its ever-growing prominence in our tech-driven world, especially within software development. Throughout my undergraduate career, I have taken on various leadership positions, from acting as the Vice President of my university's Engineers Without Borders chapter to leading development teams at CS For Good. I expect to graduate in May 2025, and I am currently interested in an internship position for the summer of 2024.

Faith Oyewole

love tech

Skills

Verilog

Area of Expertise

Academic: Student

Peter Colyer

Chip Physical Design CAD Expert with 4nm Experience. Excels at unusual assignments and large scripting/programming projects. Strong debug skills. Strong Python skills. Available for full time, part time, tutoring, mentoring, consulting. ** https://www.linkedin.com/in/petercolyer ** Chip Physical Design and CAD Flow Development; Prior Roles in Reliability, Test, and ASIC Development; Software Development. • Digital Physical Implementation; • CAD Flow Script Development; • Design Rule Manual Interpretation/Application; • BS Physics Siena College, Magna Cum Laude; • BS Electrical and Computer Engineering Clarkson University, with Great Distinction; • MS Electrical Engineering Syracuse University. Based in California USA; Based in USA

Antonio Karam

I am currently in my junior year studying computer engineering at the University of Notre Dame. Alongside that, I am pursuing a concentration in cybersecurity and a minor in engineering corporate practice. My curiosity was initially drawn to the puzzle-like nature of security problems, which led me to major in Computer Engineering in an effort to dissect both the hardware and software sides of computer systems and expose their vulnerabilities. The more I learned about cybersecurity, the more I realized its criticality in safeguarding individuals and organizations and its ever-growing prominence in our tech-driven world, especially within software development. Software engineering complements that passion but adds a layer of creativity and ingenuity that fuels my drive to develop programs and systems that help serve people all over the world. I strive to build solutions that are not only resource-efficient but also sustainable, scalable, and adaptable. Achieving this goal requires a relentless passion for learning about available and emerging technologies – a skill I am proud to have. I am fascinated by the ever-evolving landscape of tech innovation, and it is this fascination that motivates me to delve deeper into the world of technology, continuously seeking out new knowledge and skills to ensure I stay at the forefront of this dynamic industry. Throughout my undergraduate career, I have taken on various leadership positions, from acting as the Vice President of my university's Engineers Without Borders chapter to leading development teams at CS For Good. I expect to graduate in May 2025, and I am currently interested in an internship position for the summer of 2024.

Razi Ahmed

Skills

C/C++ Verilog

Area of Expertise

Digital: RTL

JATIN KATIYAR

Skills

C/C++ Verilog

Area of Expertise

Academic: Student

K A Gaganashree

Skills

Verilog

Area of Expertise

Academic: Student

Abdul wahab

Experienced in software engineering and offensive cybersecurity, I specialize in wireless networking security, cellular communication security, and cryptanalysis. Proficient in C, C++, and Python, I excel in scripting and automation. Currently delving into embedded system design, focusing on FPGA and ASIC.

Sean Froning

I am a student at the University of Notre Dame, studying Computer Engineering, Engineering Corporate Practice, and Accounting. I seek to combine my technical background alongside dynamic person-focused relationship building to create impact at the intersection of engineering and business.

Arun M

As a highly motivated and enthusiastic student, I possess strong programming and analytical skills. My passion lies in the fields of VLSI, machine learning, artificial intelligence, and embedded systems, and I am eager to further develop my knowledge and excel in these areas. With a keen attention to detail and a quick learning ability, I am able to grasp complex concepts swiftly and apply them effectively. My problem-solving skills have been honed through various academic projects, where I have demonstrated the ability to identify and overcome challenges with creativity and efficiency. I am now seeking an opportunity to learn and grow in a professional environment, leveraging my skills to contribute to the success of an organization.

Kanagaraju Ponnusamy

Seasoned VLSI professional with experience in complete chip design flow, domain experience data communication and telecom.

Yao Lu

Area of Expertise

Academic: Research

Yuji Yamada

Skills

Verilog

Area of Expertise

Academic: Student

Jakub Rachoń

I am experienced analog and mixed signals circuit designer. I am also licensed HAM radio operator with call sign SQ2SQN.

Brendan Patrick McGinn

I am a Computer Engineer at the University of Notre Dame, with minors in Innovation and Entrepreneurship and Corporate Engineering Practices. I enjoy working with assembly languages and at the circuit level.

Steven Conaway

Computer Engineering Student at the University of Notre Dame

Riku Anan

Area of Expertise

Academic: Student

Renuka Prasad

I am electronics engineer with 30years experience. I have very good expertise in IC packaging.

Whitney Maria Durham

I am into Analog and Mixed Signal designs. I have been involved in creating ASICs for 30 years.

Kenji Kise

Skills

C/C++ Verilog

Area of Expertise

Academic: Research

David Simonetti

Student at the University of Notre Dame

Area of Expertise

Academic: Student

Sofia Nelson

Computer Engineering Major at the University of Notre Dame

Evan Day

Computer Engineer at the University of Notre Dame

James Lindell

Currently a computer engineering student at the University of Notre Dame

Lydia Csaszar

Trying to be the best I can be

Area of Expertise

Academic: Student

Andrew Mitchell

Current Junior at the University of Notre Dame studying Computer Engineering

sameera bandara

I am an electronics engineer working on product designng, manufactraing and installation sectors. pesante to innovation projects in automation and AI technologys

ALI Shan

Electrical Engineer with five years of expertise in FPGA Design and Embedded Systems, cultivated through roles at prestigious organizations like RapidSilicon, Pakistan Air Force, and NRTC. Proficient in RTL design, verification, and protocol implementation. Experienced in scripting, simulation tools, and FPGA technologies. Seeking dynamic career opportunities to further enhance complex digital design systems and contribute to semiconductor innovation.

Ravi Teja

passionate VLSI Engineer working on AI Chips

Virupakshappa C G

Having around 18+yrs of experience i ASIC/SoC Functional Verification Using VHDL/Verilog,SystemVerilog,OVM/UVM. Lead/Mentor and Technical Manager

Ranjith V R

Skills

Tcl/Tk Verilog

Area of Expertise

CAD: Scripting

Bhubon Chandra Mech

I am a researcher in VLSI domain and Assistant Professor at DIAT India

SAMRAT CHHABRA

Enthusiastic electronics engineer passionate about the digital VLSI domain.

muhammad gamal rizq metwally

Fresh Electronics and Computer Engineering graduate from Nile University with magna cum laude distinction. Interested in computer architecture design using AI. Have an experience with digital IC design, data analysis, machine learning, software engineering, and system administration. Currently, working at the NISC research center in Nile University as a part-time research assistant in the field of microarchitecture design.

Lance J Lueloff

From Mining Gems in the field to mining data about gems and developing bleeding edge Gemological/Geological/Geophysical/Geochemical/Geospatial/Spectroscopic and other Survey, Testing, Measuring, Databasing, Retrieval, and Analytical Devices/Software/Tradecraft as well as beyond crystal unit level precision automated faceting/cutting/polishing/cabbing/carving/lapidary instruments and even 500 picometer diameter diamond polish... I do it all. Anyone in the industry wanting to spice up and drastically increase the efficiency and ROI on their wafer lapping/polishing capabilities: help me...help you...get ahead of the EUV/DUV/3nm and finer processes (my system has an RI value of less than 500pm... THAT is smaller than 8 carbon atoms in a cubic formation...carbon...not silicon...

kerr_wang

Skills

Verilog

Area of Expertise

Digital: RTL

Pallavi Kar

I have recently completed a course in VLSI Design and Verification. During my training period, I have done two projects: Router Design and Verification and the second one is UART Protocol.

Đào Bá Anh

Skills

Verilog

Area of Expertise

Academic: Research

PAVANA B N

Skills

Verilog

Area of Expertise

Analog: Design

HARISH N

I new to this feild

Area of Expertise

Academic: Student

zhongqi liang

have no money, but love to play.

Dr. Pallavi G. Darji

I am working as an asssociate professor in EC departments, at Dharmsinh Desai University, Nadiad, Gujarat. I am working in the field of Analog and Mixed signal Design. I had proposed small size CSDAC in my phd work and now I am working on 16 bit bidirectional data bus to communicate two ICs at different voltages. I want to prepare IC for it.

zmxx

Area of Expertise

Digital: RTL

Monib Ahmed

Skills

Verilog

Area of Expertise

Digital: RTL

Seetal Potluri

I'm a tenure-track assistant professor

Takeshi Ohkawa

I am pursuing a design method of HW/SW systems, especially in a component-based FPGA design platform over 10 years.My current research interests are the design technology of an FPGA and 3D-stacked LSI chip systems to realize a low power robots and vision systems. My life work is to purse the design methodology for information systems composed of hardware and software.

Rudrajeet Ghosh

Skills

Verilog

Area of Expertise

Academic: Student

Tamilarasan RAJA

Master's Student in Embedded Systems

Pisati Raghavendra Reddy

Masters Student at National Institute of Technology, Karnataka

王大明

Area of Expertise

Digital: DFT

masakazu hioki

Skills

Verilog

Area of Expertise

Academic: Research

Shrikrishna Pandit

I am an Electronics and Communications 2nd year student in Pes University, India.

Area of Expertise

Academic: Student

Henry Duwe

Henry Duwe received his B.S. degree in computer engineering and computer science from the University of Wisconsin--Madison and his M.S. and Ph.D. in electrical and computer engineering (ECE) from the University of Illinois at Urbana-Champaign (UIUC). He is an Assistant Professor in the electrical and computer engineering (ECpE) department at Iowa State University. His research interests include computer architecture, compilers, design automation, and engineering education. He is focusing on the architecture and design of dependable and intelligent energy-harvesting computer systems. He advises the Chip ISU chip fabrication co-curricular.

Jeorge D. Anderson II

A mechatronics engineer

Adideb Das

Skills

Verilog

Area of Expertise

Academic: Student

Sanchit Gupta

M.tech student at IIT Bombay, Mumbai, India

Area of Expertise

Academic: Student

Soham Bhattacharya

As a PhD candidate in Electrical and Computer Engineering at Rowan University, I am passionate about designing domain-specific customized hardware accelerators using Hardware Description Languages. I am currently a Graduate Research and Teaching Fellow, working on projects related to computer hardware architecture, digital design, and VLSI design. I have expertise in VHDL, VERILOG, and Scala, and experience with testing, debugging, simulating, and waveform analysis tools. I also have a strong background in computer architecture, SOC design, and reversible computing, and knowledge of C/C++ programming languages. Additionally, I have secured multiple grants from the National Science Foundation for conducting customer discovery interviews in the domain of scientific computing. I have also published several papers in international journals and received the Young Researcher Award in 2020. I am a team player, a leader, a mentor, and a public speaker, with skills in interviewing, collaborating, and empowering others.

Carl L Brando

I graduated with a computer engineering BS/MS from the University of Maryland College park. I now work in industry at a medical device company.

Abhishek Kumar

Abhishek Kumar is a distinguished professional with a robust academic foundation and versatile experience across multiple domains. He holds a Bachelor of Engineering (BE) in Electronics and Communication from Nagpur University and a Master of Technology (M.Tech) in Embedded Systems from BITS Pilani, reflecting his strong technical expertise and commitment to academic excellence. Abhishek's career spans diverse roles in the power sector, telecommunications, and e-governance, showcasing his adaptability and leadership capabilities. He began his professional journey at SSG Embedded Solutions, where he honed his skills in embedded systems. Subsequently, he served as an Assistant Engineer at Jharkhand Bijli Vitran Nigam Limited, contributing to the power domain with a focus on infrastructure and operational efficiency. In addition, he has demonstrated expertise in public service by working as an E-Governance District Manager, facilitating technological advancements in governance. His tenure as a Telecom Assistant Manager further solidified his proficiency in the telecommunications sector, emphasizing network management and strategic planning. With his comprehensive educational background and dynamic professional experience, Abhishek Kumar is recognized for his technical acumen, problem-solving abilities, and impactful contributions across industries.

Hao-Yen Tang

Hao-Yen Tang received his PhD degree from UC Berkeley Advised by Prof. Bernhard E. Boser, his PhD research, PMUT ultrasonic fingerprint sensor, convince InvenSense senior management to make a heavy investment to take this technology to mass market. At InvenSense he’s leading a multi-disciplinary system team for the next generation ultrasonic fingerprint sensor bringup, characterization and calibration, coordinating the works from different field including acoustic, MEMS, CMOS, FW, and SW. Currently, he serves as CTO/Co-Founder in the startup company UltraSense Systems. The company is aiming to transform any surface material into a Touch/Press user interface with it’s proprietary PiezoMEMS-CMOS technology. Dr. Tang is the recipient of 2016 ISSCC Best Paper Award (Lewis Winner Award for Outstanding Paper), 2015 SSCS Pre-Doctoral Award and 2015 ADI Outstanding Student Designer Award. Currently he holds 20+ granted patents, 20+ publications, and 2000+ citations.

Alex Flint

I live in a Buddhist community where we design AI chips

Area of Expertise

Digital: RTL

Kirill M

Skills

Verilog

Area of Expertise

Academic: Research

Cornell Custom Silicon Systems

We are an undergraduate chip design team at Cornell University dedicated to open-source design!

Nihar Gowda S

Skills

Verilog

Area of Expertise

Academic: Student

gayatri kumbhar

Skills

Verilog

Area of Expertise

Academic: Student

Srimanth Tenneti

I am a ASIC Designer with a piqued interest in AI Hardware Design.

Esteban Chacon

Skills

Verilog

Area of Expertise

Academic: Student

Michele Caselle

Mixed-signal ASIC teacher at KIT (Karlsruhe Institute of Technology), Germany

Gourav Salla

MTech MVLSI (23-25) student at IITK

Area of Expertise

Academic: Student

Muhammad Usama Zubair

I am an alumnus of Masters in Electrical Engineering from Department of Electrical Engineering, University of Engineering and Technology, Lahore; the project should be shipped there. The address is: University of Engineering and Technology, Main Campus, G. T. Road, Lahore, Pakistan. Postal code: 39161

Jayaraj J

A hacker, tinkerer, and maker

Mohammed Fayiz Ferosh

I'm a BTech Electronics and Instrumentation graduate and an electronics enthusiast who was inspired by the open-source silicon technology and it's accomplishment and aim to build a career for myself in VLSI specifically as an ASIC Physical Design Engineer.

Rohan Gupta

Student interested in hardware design and VLSI

Area of Expertise

Academic: Student

Marc Huerta

College Student into VSLI and digital design realted things

Area of Expertise

Academic: Student

Atharv Sharma

Exploring the world of electronics

Nithin M

Electronics and Communication Engineer

Area of Expertise

Academic: Student

Yasin Yilmaz

Skills

Verilog

Area of Expertise

Academic: Research

Anshul Verma

I am a research scholar in the Electronics & Communication Engineering department at the Indian Institute of Technology (IIT) Roorkee, Roorkee, India. My research area includes Analog/RF and Mixed signal circuit design, mainly focused on Phase Locked Loops (PLL).

Berna Ors Yalcin

Berna Örs received the Electronics & Communication Engineering degree and the MSc degree in 1995 and 1998, respectively, both from the Istanbul Technical University (ITU), Turkey. She received the Electrical Engineering degree in applied sciences from the Katholieke Universiteit Leuven, Belgium, in 2005. Currently, she is a Professor at ITU. Her main research interests include cryptography, embedded systems, and side-channel attacks.

SURYA RC

Aspiring full-time Chip designer

Skills

Verilog

Area of Expertise

Academic: Student

TaiChi31

Skills

Verilog

Area of Expertise

Academic: Student

Josh Gillespie

Currently employed as FPGA engineer, seeking to learn more about silicon design

Diarmuid Collins

Founder / director of SLICE Semiconductor, specialising in analog IC design contract services and high performance mixed signal ASIC solutions.

Adarsh Thakur

Skills

Verilog

Area of Expertise

Academic: Research

Patricio Bulic

I am a professor in computer engineering at the Faculty of Computer and Information Science, University of Ljubljana, Slovenia. My research interests include computer architecture and organization, parallel processing, computer arithmetic, embedded systems, and VLSI design.

Aniket Gupta

currently pursuing masters in VLSI for IIT Bombay.

Renaldas Zioma

Learning to build brain-inspired Neuromorphic chips. I used to wrestle with GPUs for graphics and AI. Previously at Unity Technologies and Electronic Arts.

Anju Yajjala

Electronics Enthusiast with a passion for ML innovation

Peter

Skills

Verilog

Area of Expertise

Academic: Student

AIALRA

Get me to chip design!

Area of Expertise

Academic: Student

Samuel Strickland

Electrical Engineer I really like my personal data.

Vaibbhav T

The SoC architecture, design and verification engineer

Mrunmayee

I am a recent graduate with a Major in Electronics and Telecommunication Engineering and a minor in computer engineering.

lin min

Skills

Verilog

Area of Expertise

Academic: Research

Goutham A

passionate about circuit design

Area of Expertise

Analog: Design

Nitin Manohar Mishra

Electronics and Communication Graduate from Vidyavardhaka College of engineering.

ChanYeong Na

Skills

Verilog

Area of Expertise

Academic: Student

Yolie Reyes

Engineering Student at California State University, Chico

Devang Optional

Skills

Verilog

Area of Expertise

Academic: Student

Viswa Prapurna Ramireddy

I am a highly skilled and motivated Integrated Circuit (IC) Designer with expertise in both schematic and layout design and verification. Throughout my career, I have played a key role in the development of multiple image sensor Readout Integrated Circuits (ROICs), from initial concept to final production. My key strengths include: • Schematic Design and Verification: I have extensive experience in designing and verifying top-level schematics and novel digital IP blocks for ROICs. I am proficient in using Verilog-A, PWL models, and SPICE netlists for comprehensive validation. • Layout Design and Verification: I possess a strong understanding of physical design principles and a proven track record of owning physical design for complex ROICs, including floor planning, top-level integration, and signoff. I have expertise in 3D IC integration and have developed reusable block-level layouts for various digital and analog IPs. • Design Enablement: I am passionate about creating efficient design flows. I have pioneered an open-source digital design flow and a TSV routing flow, established methodologies for 3D IC validation, and customized Calibre rules for advanced designs. I am a results-oriented individual with a keen eye for detail and a passion for innovation. I am proficient in various EDA tools and PDKs and possess excellent problem-solving and analytical skills. I am eager to contribute my expertise to a team that is developing cutting-edge imaging technologies

lizhaojie

Skills

Verilog

Area of Expertise

Digital: RTL

Chris Feilbach

Currently a Senior CPU Architect at NVIDIA. Here for personal projects only.

Skills

C/C++ Verilog

Area of Expertise

Circuits: Memory Digital: RTL

Priyanshi Panwar

Skills

Verilog

Area of Expertise

Academic: Student

Soven Kumar Dana

Skills

Verilog

Area of Expertise

Academic: Teaching

Mahesh S R

Skills

Verilog

Area of Expertise

Digital: Synthesis

Hai Nguyen

Skills

Verilog

Area of Expertise

Digital: Verification

Hemaprasad Kothainambi

Design Verification Engineer with a keen sense of interest in Computer Architecture and RTL Design

Kuo-Yu Hsiao

Skills

Verilog

Area of Expertise

Academic: Student

Shahjahan Sangrasi

I am a final year Computer engineering student at Habib University. I am currently focused on in-depth study of RISCV architecture, and SoC design for Robots.

Naveen Ramachandran

Skills

Verilog

Area of Expertise

fpga

DuYiming

wonderful

Skills

Verilog

Area of Expertise

Academic: Research

Kagan Dikmen

B.Sc. Electrical Engineering and Information Technology at Technical University of Munich

Area of Expertise

Academic: Student

Anousha Malik

An undergraduate Electrical Engineering student from Pakistan passionate about chip design, aspiring to dive into Silicon Valley's cutting-edge chip innovation. With a robust foundation in embedded systems and proficiency in C, C++, Python, CSS, and Java, they aim to excel in the tech industry, blending their technical expertise with a flair for creative article writing. As a dedicated IEEE member and certified volunteer administrator, they have a vision to shape the future of technology through innovation and exploration.

Jesús Jiménez Ulate

Student of Electrical & Computer Engineering. Technology lover :)

Dag Arne Osvik

Optimisation experience spanning more than 30 years for software, 25 years for cryptography, and 13 years for hardware. Background in Physics, Pure and Applied Mathematics, and Computer Science (PhD in Cryptology).

Ashwin Krishna Mani

I am currently a Design Verification Intern and I am looking to bolster my thought processes more in this world of RTL Design and Computer Architecture

Shikha

Skills

VHDL, Verilog

Area of Expertise

Academic: Research

HARIPREETH DM

Skills

Verilog

Area of Expertise

Academic: Student

Pavan Kalyan

Skills

Verilog

Area of Expertise

Academic: Student

Taufiq Alif Kurniawan

I am Taufiq Alif Kurniawan, Assistant Prof. in Department of Electrical Engineering, Universitas Indonesia. My research field is RF/analog IC Design. For full information, please access : http://staff.ui.ac.id/taufiq.alif

Jack Dempsey

Cornell Tech ECE Master of Engineering Grad '24. Interested in startups and easier access to chip design and manufacturing!

masakazu hioki

This is an account to submit our data for CI2409 shuttle via opensusi.

Skills

Verilog

Area of Expertise

Academic: Research

Devadeep Reddi

As a VLSI enthusiast, I completed a 6-month internship as a NAND product engineer at Micron Technology where my work comprises of post-silicon validation, data analysis using JMP, and testing NAND components under varying PVT conditions in DDR3 and LPDDR4. I'm passionate about CMOS and have experience simulating NAND output drivers through HSPICE simulations in collaboration with the design team. Additionally, I have proficiency in System Verilog, RTL design, and a solid grasp of digital IC, analog IC, and physical design. I hold a master's degree in Microelectronics from BITS Hyderabad and a bachelor's degree in ECE from Gayatri Vidya Parishad. I achieved a GATE ECE score of 571 with an All India Rank (AIR) of 1404 in 2023. devadeepreddi20@gmail.com

Shubham Anurag

Skills

Verilog

Area of Expertise

Academic: Student

Stan Lee

Stanford Electrical Engineering

Madhav Parihar

Learner

Area of Expertise

Academic: Student

EVER DR

Just some guy trying to learn all this chip stuff.

Area of Expertise

Circuits: Microcontrollers

Devang Sharma

Greetings! I, Devang Sharma am a B.Tech final year student studying at Jaypee Institute, Noida. I am a VLSI-enthusiast and posses moderate to advanced-level skillset(at B.Tech level). I have studied about ASIC Design Flow and learnt several HDLs(Verilog, SV, UVM).

LÝ HỮU LỘC

Skills

Verilog

Area of Expertise

Academic: Student

Minsang Yu

Area of Expertise

Digital: RTL

Agape Dsky

Skills

Verilog

Area of Expertise

Academic: Student

Sameer Srivastava

cse undergrad

Area of Expertise

Academic: Student

Kuan Chin Yi

Skills

Verilog

Area of Expertise

Academic: Student

Abdallah Awad

computer architect, chip design verfication engineer.

Area of Expertise

Academic: Student

SUSHMI R

Myself Sushmi R pursuing my PhD from IIITDM Kancheepuram in VLSI Design

Skills

Verilog

Area of Expertise

Academic: Research

Ahmad Houraniah

Skills

Verilog

Area of Expertise

Digital: RTL

PRIYA K

research scholar

Skills

Verilog

Area of Expertise

Digital: RTL

Abhijit Pethe

I am a Professor at BITS Pilani. I teach courses on VLSI Design, Semiconductor device and process and Analog Design. My area of research includes neuromorphic computing, embedded memories and biosensors.

Monish Subramani

Pre-Final year at EEE, NITK. Looking to get hands dirty with an immense curiosity and interest in fields (and not limited to) Digital Design, CPU architecture and other fields under computer engineering. Crazy about new market innovations and always looking forward to new connections.

Mitchell Driscoll

Skills

Verilog

Area of Expertise

Academic: Student

Steve Jenson

Programmer and accidental Digital Design Engineer.